Salome HOME
Copyright update 2022
[modules/paravis.git] / src / Plugins / GaussToCell / plugin / GaussToCellModule / vtkGaussToCell.h
1 // Copyright (C) 2018-2022  CEA/DEN, EDF R&D
2 //
3 // This library is free software; you can redistribute it and/or
4 // modify it under the terms of the GNU Lesser General Public
5 // License as published by the Free Software Foundation; either
6 // version 2.1 of the License, or (at your option) any later version.
7 //
8 // This library is distributed in the hope that it will be useful,
9 // but WITHOUT ANY WARRANTY; without even the implied warranty of
10 // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
11 // Lesser General Public License for more details.
12 //
13 // You should have received a copy of the GNU Lesser General Public
14 // License along with this library; if not, write to the Free Software
15 // Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA
16 //
17 // See http://www.salome-platform.org/ or email : webmaster.salome@opencascade.com
18 //
19 // Author : Anthony Geay (EDF R&D)
20
21 #ifndef vtkGaussToCell_h__
22 #define vtkGaussToCell_h__
23
24 #include <vtkUnstructuredGridAlgorithm.h>
25
26 class vtkMutableDirectedGraph;
27
28 class VTK_EXPORT vtkGaussToCell : public vtkUnstructuredGridAlgorithm
29 {
30 public:
31   static vtkGaussToCell* New();
32   vtkTypeMacro(vtkGaussToCell, vtkUnstructuredGridAlgorithm)
33   void PrintSelf(ostream& os, vtkIndent indent) override;
34
35   void SetAvgFlag(bool avgStatus);
36
37   void SetMaxFlag(bool maxStatus);
38
39   void SetMinFlag(bool minStatus);
40
41 protected:
42   vtkGaussToCell();
43   ~vtkGaussToCell() override;
44
45   int RequestInformation(vtkInformation*, vtkInformationVector**, vtkInformationVector*) override;
46
47   int RequestData(vtkInformation*, vtkInformationVector**, vtkInformationVector*) override;
48
49   bool avgStatus;
50   bool maxStatus;
51   bool minStatus;
52
53 private:
54   vtkGaussToCell(const vtkGaussToCell&);
55   void operator=(const vtkGaussToCell&); // Not implemented.
56 };
57
58 #endif