Salome HOME
Join modifications from BR_Dev_For_4_0 tag V4_1_1.
[modules/med.git] / adm_local / unix / config_files / splitter.m4
1 dnl  Copyright (C) 2003  OPEN CASCADE, EADS/CCR, LIP6, CEA/DEN,
2 dnl  CEDRAT, EDF R&D, LEG, PRINCIPIA R&D, BUREAU VERITAS 
3 dnl 
4 dnl  This library is free software; you can redistribute it and/or 
5 dnl  modify it under the terms of the GNU Lesser General Public 
6 dnl  License as published by the Free Software Foundation; either 
7 dnl  version 2.1 of the License. 
8 dnl 
9 dnl  This library is distributed in the hope that it will be useful, 
10 dnl  but WITHOUT ANY WARRANTY; without even the implied warranty of 
11 dnl  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU 
12 dnl  Lesser General Public License for more details. 
13 dnl 
14 dnl  You should have received a copy of the GNU Lesser General Public 
15 dnl  License along with this library; if not, write to the Free Software 
16 dnl  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA 
17 dnl 
18 dnl  See http://www.opencascade.org/SALOME/ or email : webmaster.salome@opencascade.org 
19 dnl
20 dnl
21 dnl
22 dnl define macros :
23 dnl AC_ENABLE_SPLITTER AC_DISABLE_SPLITTER
24 dnl
25 dnl author Vincent BERGEAUD
26 dnl
27  
28 # AC_ENABLE_SPLITTER
29 AC_DEFUN([AC_ENABLE_SPLITTER], [dnl
30 define([AC_ENABLE_SPLITTER_DEFAULT], ifelse($1, yes, yes, no))dnl
31 AC_ARG_ENABLE(splitter,
32 changequote(<<, >>)dnl
33 <<  --enable-splitter[=PKGS]  build with splitter tool [default=>>AC_ENABLE_SPLITTER_DEFAULT],
34 changequote([, ])dnl
35 [p=${PACKAGE-default}
36 case "$enableval" in
37 yes) enable_splitter=yes ;;
38 no) enable_splitter=no ;;
39 *)
40   enable_splitter=no
41   # Look at the argument we got.  We use all the common list separators.
42   IFS="${IFS=   }"; ac_save_ifs="$IFS"; IFS="${IFS}:,"
43   for pkg in $enableval; do
44     if test "X$pkg" = "X$p"; then
45       enable_splitter=yes
46     fi
47   done
48   IFS="$ac_save_ifs"
49   ;;
50 esac],
51 enable_splitter=AC_ENABLE_SPLITTER_DEFAULT)dnl
52
53 if test "X$enable_splitter" = "Xyes"; then
54   ENABLE_SPLITTER="yes"
55   AC_SUBST(ENABLE_SPLITTER)
56 fi
57 ])
58
59 # AC_DISABLE_SPLITTER - set the default flag to --disable-splitter
60 AC_DEFUN([AC_DISABLE_SPLITTER], [AC_ENABLE_SPLITTER(no)])
61