From 50e2278eb9dec04db686674eed6aa743a0bc8ce6 Mon Sep 17 00:00:00 2001 From: vsr Date: Mon, 27 May 2013 10:59:54 +0000 Subject: [PATCH] 0022178: [CEA 798] Sewing: Make option NonManifoldMode available in GEOM --- doc/salome/gui/GEOM/images/repair6.png | Bin 18833 -> 19236 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/doc/salome/gui/GEOM/images/repair6.png b/doc/salome/gui/GEOM/images/repair6.png index 652f4eced984c654b97751983250c358c2b70b43..1816e30ec5decf3d245b184cc5841fc486135835 100755 GIT binary patch literal 19236 zcmagG1z1$w-ad??gp`2NEuoUqlF}d`f^>H`3_YZD2}rk;bazR2cMjcM0}L?V<~i>< z|MR@(eAjz%aj|DH%wDth+V}5w#}NGWiv-3Cq8A7V2pCe5q6!EIPhx;?XA~r0M7J;Z z68Q1NK|w+op=6k37kGfAFD)U80Dt_-XwCzki-2k?spf!yfQI|{{RAN?g#Z{tc9fD8 zLtc7L{DO!2W4Txx0s;ksl&FxR%lyHTm!-yKCLC_k+Mm7tUZh9Al+CT9gR^hdW2L%N&DD=dnS|Ehb`epC#ewhjZMx?gsN%48o4m2x`2eJA`o(AsbgbD8TADQCxeuTsi+1vJkqGwxd*hD4U!USZ*3CJ zw$O1k{ey#gD||Q7%~&HuPD=h%3=rH?Kk>c+9fNe1@AMS1@xKT4+G`&95K*|Oc#p~9 zBxwKRH@=xFkH2}P)n^~J-`Z5D`kac2i({RZ-bPAK{|B)|Uu3Rf+uQ!7bFbyd^?XVL z)%smE#0s;pQcJ!%L%t`P9i=L>@5fIVnWu{@<%n;P(Nkq~Zn#XNgbb4dkFp3CjUx87 zn2i=C_hacD*sbPW%;LX7Y~9p0hm@zI`_r&=;PK;dxEhmV`kskj?kch2ii}$R&KUgtnG@mbqgio!R$^{5&P70P(wy!_$#`r z;M8>MIQ8pe6wNWvtu$qf&vm)bQwSz=A>-lNIQZhMzYb@ioJ2)`!6;{*raKI=!eshm zGN;=v@$jJ5m$8FQ#_rxR%7WTSru?SF1vYTwHj_;-Bks0^GLvMBy)7KOOM~4P6i8mm z{49s#x{^>|!hoC3AdF6dAU9I9KU6RKlt`etMVZnjAM5-ILAw+g=78_ziqMg4;X@Q4 zbeM|d$p+PTq}5$9MB>`VSzhUS+uyv_ghU|5;zA{2-`-_GU^%zarZY%0a1+`l5byJA zkvQ{;?6~OM6~pf&l}rY4k)gAjZE`6VCQ7cJzL54a6|Et_z3F;Tlkk-7C4cI0?bCK* zK2>9D-fzPP3#$!G;-{0ul?96#xr+|v=s|g`qH>KltRgW+PoUMt+wfy>%j%kt zn{Bs{oA^gDw0MpQ!?{q#QXB3Vtccw3G+&rQNug=tlBO9OJE#YjHfrb1Rp48nGlFSS zUAmY~!OuS=-A}E|+PzFrIt)HV#XCkJU8uY{R~C#*bhnPlr+&D^3y6YMjbD&5JG~b< zUXa)15*hHDn!Kjqv0h0mLP z=Yx7wLtP}ZSua|7!-N8cB}Awv2-kX$%oY=|usiIc9R*0*SxImr)XGSo>u9`Voh1VnrzAX;po@i%-3o2RGp)OBO0I*S zEE;$8)D7L4N@@Vh;x{MdM?HN6C%%BoL!tr?vT^vJZcmn~5~X=Rzo=Xe>Q7;hMauPt zYgsIDPLYwudLGMJoIk98z6c#UH#r=6=^CgqFBT4V93byUW%(f}+T^EJ=CEpfJRkaK zfx=kXl<33CCTUzUP+7;PwX&jf&~fL)TgTXW`1UlbnY!{x6J$4c@2cRAPD1VeE;n#v z8()Wm3^4?~XnZkmt;IP@*X2|Luf{?_3-fA>`+`0S(EI=mr%cqTBj_m6v{nEg|dp0>BFETL^RG0zy;RJs@B(K|wC zY{t%e*n{Q7%Z`52y3Gg1kaU5@Rh2n*i=L=SK6G?L!OVyz(F9!&zD$Vty&lh%jFFB| z)}H#&X+>`PZE3F|LH6!(4hZ3i9aCsMPw^sG_lEAn%#}+B^B~?S;wM^Ma z&IfchXv4dwh3qpJ8(->Fl}oDEIQR`K2%E&1JTP$bVy!H{(DfVO&19Puyx6E21D!%X%>80L zfj!kt_&)7k*7Ew9Z@twW4|=-fIwMspsdjqoaY?e{${Und?xwIfG4rPZUmVH{U-0Sp z9Z7HrN6|s?7<@bHq|Y3XN4lUkLvC1LFBt_GBcfM%d=duI*eN~V0fQIMm zR~119iA-Zy1}?2y$-KXNhbCCx1+3yqp?7>cQR_;y{XmPQ9pGcszGH^GuN)&o3;no! z-GJZ0QZ5se>U2u9Qs=<1%_RlLT?}5NJE-3}$8(pI%RVm^$(sFh*8XXnas?XmK=%X0 z5)$rf#U9e^65_p3d{-wv(wLR~jZ-`-a5+yn?15hjq{Oj1*mZc~!ffWBw}dVdjE>mx zVXtN1#2~*f!@zfyGEQ;=cJ=ZV;mwExQ#}Y#QOQM0&aezF@Dzf&dP(%mgREhhAanjj zbW`1-a(+}{Xp!IQQ1$0Koxn~(5@E>INIl%mMw|ThAzE+azU{fdX=zg;V|1Tge6EfA z7Uoa?GskiaJwdwyf=-7<{D=kW$V)wNDm*z8VtXa6^rg}a;Rm(|_Px*8+jJR@TIq$uD5S8o6D_)+sp1A8>GowCrR-G(Kcdy^*60%vS=MEAa@E}IS zQ_A(xb74XEJL!4??M!6HaDIMzD0dM_jSdx}lqAIX?F% z1$N9>JK0xFg}y~BZ+gqg4sP^mX=TuiDA#pG3vYJ8Q_`NOWw!cod2h6Ls+loZ4OYoW zrk8pxRgghYKsF)0V{EX6r7v~otXe-~icOt@o@N&~99WRi>zC}0*b_tj&8)~iM&y+G zQlsD`eoOs1xWg=Zdz|0_;q-KaWZ7$GgK8--ev;0HzKof>C?=Q*)bC1V~IyI&}-8ao(;bxNGZ zY1Fx(#JTNw(uJ7amdjwh$#Yn+P9zi0welO;&@X(B^A6?ba0|S9W^xF`4+4cZLF6tG zF+%B0;?9ikyQhU0%6n~sWUW-pS1^tO}JlI+}x+^(S(A&HMo1}m2T zl!lity1o^UdLl+Pc!uCP(J>l`8W4?HlI#7A%GhgYedLB5KHQ-1^ zucM-O{9$QA?~w%#2geN`_W#sBIn0NKfH4E$`Y~VTCYF`R?rOJN0!a7~!4iQE`}F-_ z+`;`yTRP2KfwLdnctao`@KW+Zjk5vw_PDAK(JgSx3HDjJACaWzH%Ml$?C4mAh3Y7! zS->5RZJTRLK|e0K^fs{WLm7J5U@ETo8r`h@$%F(BB^GHjF#?sntjpX;50^^uoTC`b z4-1TSQ-V`8h6FBo7~1Z15~<8-cZPV$_*gCe1Dm-wt4{b#m_LcT56-JSAS1nmwsgJ; zT}YX{_axWrrVrnm;7%6egim@KCK`(ESM`?7|IF~=Bd)J`;J!p@W*_V)YGAPn4gr@Ga+OoNBn(3}KXQL!Hk;pH4g=vozCs6!X-=^Xs8H z$|YGA0vuTEnoh3LjO+IO8hZ^F3NbmYZ!xf17W^C>{a$Me_tjOG}I)atN+-IW_@+vy2X5|&%D)zc(nO!+;C>+Qai0(v_wA6o|xx8XI}ZA zMMXF4-^qxIit15OQN6&$#+FY2sL-jRI{BmlnU- z8|IcK%J)0sbHh_DSXB17fqfT#s(p+Wps%>tYB?pcTq<}xo+OzS6=D7TtiX|vrCw+* zG&XJV0%RmQ|00jM>P}%=43EmHSg~Y4_86R#mm#J!+R!gNv&`h%_WAux>=?}4#xoi` zpL-f54m^}sRu(q6$0X@dQv`KByfT`Aa>_etptkAKAVavnvNUgR0)IylyWekWW!4SS zLMS-KjOLE*9dSMx^1FfK=HVzpNSh4FKU=FwL-vtTkm%nv7Jo0!lznc*ph!+dH6xqg zcwZDMO4Wv3jx7)r{t`|tG&7*}{9H6r++hOF=X(!*#y^qEY<$x-yl`2`WXaow>@_SK zNftU>zrg-gF1$4S8g=%-oGxc;taDbe%EGlc#$&&*XGTTc`((G_)Xct0&pa zt0uhCWW-^knV=j?;%vfA=a9yUp*sqS`QhyJtu-DGbgLi33JsT+6a5owEYfp*V>JW_JE1DSLghRude-L<(2q zEAsId5b}nN^4%rPDQ9Ft7YhUn5eoRbhE)krm3I| zb>E>?!6f~&?J*d-6`!uA4A($>#uUdv?%bYp+d`3*Wf1?9?Hh}#)IyBack-eRog?}L zWi6ngw7C~(1rL7=OgdI#Opvjo#R#P6{@KZb=a37njm` zc-84H(bN_hSspMLn;p42X~p-0j8g88&qE8ayfZsq5JXM&>Kx_m4gdMIb;y6Do8YPN z7yD+i88l>-G)4>WlFU(1ef>@F1JUm?;PCnRAQ$+<0JGC_;zS-?P(#h zaxm^`{!y{m^wFQTCk@(rzxGYE5^`vlm=E7v_-QWX4$m%X+z+Y6qk#oQHxe}q^-m7{ z4&axbz*93HR<$|>LduV4U?y7Dtda=m9lg%Uj5Pvru%^)7ORF=#HGRa*ipF{-I!Oc% z0rIPBMSOYv#qMqTJ5d2}1HZwoew@xh6I-4f93EZK52oHqSiX=%#K7WU0j~9S>veSb z$nY?K1e?f8+Ivsu6KELNDefq~!EAX+vuwCEJi_iFlo`!BMo3pl6}^QmNRQyV=gWYi z0bq5DLJ$J?V;DN~kddO0|^{y$;yX`HCf_@Ol&wFY$}5U_L>s z+jHzaGIQ1Ov(Qv7uKPJ(&`{25CN0%Sm44&`cZ7%pY_ch0Umq_yp^NsaPIkY_F36vq zi$g#C^G1h>+cS3lY2p1N+JlMu-QaJiNy$Wc>U0b3j(6O`_rq=od(P9iuDP)%HKRs+ zT%me`1yq z*Ko_z-~V~`Tpu5Xb+MgS%{L}|?ZW~RG|w(7`o5(VKB3veelJAi3@oDtD}{iT22sa!k}k4LQC`-DPnH=zWE*T$x28Ijpkq z3EzpP6u1{`F;kaDHEp~EEz5E|+>zs0)3MSrGtUd{0gQ3!bOt+JdbtCzy={Rf+meTpGFwohxh z@u`QuhweAj>C|Uy_14wHc7qp(hU&P}d8tRJDGTW|L^lGg66FkBp8kh*V{F3b)B2D@r z_HEI9&%=X)7O(ZzxXqe!>Q6&Dcjp?*Y0055nG}cJ8x5YhzLFyJqwjvat7~62)aebE zb{+QSUSq2<>ggwGk8j%-hlhlOsBNPbjeuk56YW`~n;r1u{KL>nlKaAAWw^6Pa-?|c zeOxLMH8Tv^@Ova*y``cmq>^dsq8%0ukl_^BP8(L+HiOSNu>uz@xvlX}ZONicz#y<@ z*|vhUgo1i8Jc-ojBZf@6i;hRhS~{ER^o0C9!29$}Am~C;u1{Z$T$?;9GV+3WJ|%wo z^_dUG6Hh4oA!0tY8r|zv&)Lm3sR!M@_QAZpE#0``7m56n=O~Jb>rxmZ-F+NdteAvc z{81f4WujTy>pF=wQ;Q@*!m6Z!V5sHifQSG}N@hfWkrBKptG5=CGHKRglW@Y;cv z?-eZ-fFc0+{MGaQV?;$B=-e(3LjDJySd0BxTnjZ-#FrwSbR!qc0qy&(Ox)HTzK%Um zjtFmDe(p6Em@ZEhCE)|F!VqbeR0SaRnjs%tb!0&6nV-;O_clKsw%>4LRxT(uchM~S zb4gj4MYXSu3v+N`KH<2$Z+gt5IKE{D>m|Cf0?@{3h$KsE+Wb4P4KfJ%WmLFV4e`rnth*a1AkoEN?Ve^G!-`# z&8A$HXvXSgPr1u3z8ZfOjKT5mu9T{NBC|AE|cr82x8e?9k`l1 zPgiC+@roRyv$D9nRoOzUt`gvwdaq#$Ovd_^MGfD)XC`1MMB}dTNU3ushpMx90)Q)H zKW(-O6LF})1DXYiUmxx^1qup}jaG^w2F11ZdJe;xTkca~5fM*-Wf{LRBwj_{KZ%Di znMn(br_e zR0YqVXAAA^SL;{ubC2XD$W2$&{~opOH_&K)V)#P_E4-@;^S~~7x+gifN8%(tP%5>& zzV@OLCuH+e6WuURx;tq|p>Jm{OtyF@#G0z4R}TLqSZq}cfO3mHxfe0%fV0rh zLCA~6Z(YZj4{1OjG=8tZHPo2A&BwyKol>aYCmYE=dh!(!XsQ3&cCZ5mL*~*!|0^9) zLmK~Mtf9GeN2T_Svm|)|VMu-^{Lt@TJql{C@S39UR&MuQ(~xZVY#z>yU(JW&$HKG& z&H)OMR9d;&(h{FdXoo;*UD2VFCVu5?Cj+MgW0BeVy8+DK&w+Dnh}+42Q7?j2hx(O5 z$Ie92R*~B$?|(;CRNy2za{#5+Q~mR_f{KoWni{IR_JKa!=i#pENax64=bjSS|K*o( zpii+{{Wvpo<;bfcqQSiH5p!R9n6>VYLQ`98@yJ;VLh`-;)|9wRAOH_fb)A>C^Il$TU-wAdVvebVTQmAfI zFaid^e7r`8nwOvC69)BJC4HTrD-lxJ8`R3YX9&QQD0NFP9sCziM5z_2Rf@+_P`!vr zeB@4AhgTo-mfo_$2S-mmkO9Oai$pG+kzT^u4`|y%O`4 znftKo!31Y`B)dX=Nf@x?MElMw++T#~bC0=@f4A^TO7*F8E;%!oV+DS^-V!P(^aB8< zeR?!kdV1{K9=}cZ2S6sOYS`Bsjw-GwZ}Z;5+^+PN^x9_rxEIDZq{i3C@aP)U^LF<3WYwwdEH0E6fl&ZzV({%v`Kqb_ zh4J2lE7zET{I9XM1_lOoYVrJs?g%J0Xbu-U@(9sz$??T;nXUAC`v@}r&(}UD2b5Qy zB~9nsBe4mGWze)BGD9!B5Z;=qht9 zi)_qMi8T_0P;>eU{!k3Toqd@Ba;B%Dp;3(!+o+cmc!_{{w}k*Mz1-BG1+K}5li^QH zGGr*YykrB_pO+7MSkN(BTv;G{s5Z&`GQb&_A*^QZHO0E{TgcA#W_oKF!Eo*=dftkp6PC?5c|%mGM%;(H~Q$lY_v#Cdo6wBW$r)6o-p29?PEj=P7;7jju!1-!tTEz1pXY}Gz9*+ML@IxU>IqEd^RE(^zq_|^65UJ3tw8! zar#Kl&hD5m3!uW>>pfK^ep}zMjX? zUpag-o}RZ#UuKmYafK zn#c%zs-KHBafhqLmUDHd6*Ck64QthmKzG@Ge#lq~H{qZ8YF$wLg}**)<-KmqzvQ11 zUh)GS{9f|e#>3*>bN&h+oxBxZ5YAS}zcaYRnu__H6GuvW=sthCbo7P*9jA%6w;SM( zj$PHe+X*`!z(SR9XpmX8j$Sy@^`Y9PQZptGJ;x{FA))c`GM!THA6?zSaL?=+lM|nN zU|uM<0yzhxWWy8H#y_~1pI?zVv&abMccQ%MK?Z${ouW9Cp`IDKjHE6esZxM;@F;}@ z6}|QEdk^q;MTm-Q8BdUr+QH#`vW3%^M#cWYRjXO*_-R!5oc28d1Os@Ef>o5yZQ-+ou9Y&KqcsGoHBo zt*85UW$&LQglYg2*Q;K~Brr6nh&A3}H`XZiN$CKF7qfx#$ZrVpw1n7@7 zU^>oNbLM%*?GZ)rx8OSuWC&jZT+r^l(B+a)Mp<&d{e+lT1ZaTa2T!}%wmn5{rT!E%bOEPyIs~(>QCt>Eyo9N9$`5 zy+>ERH+Q84#}iZ6hH&78&nA$Tk4%74;+f7|+mi0&a7=#8sH&|ZiWikUw5iGA z^8e()r_ZWNBFbt}xCu1+0H2+j5)zl_nN@UP zcGp>yo{{D!aXm%>~(5Bi@95o|MZgVY##29p=xbFtva6&`KMZ?8LtdTR)Fq>vs}~ksc=C}Vx!(fDp#T2 zE@AtP+w0&U&45BOK)kADyS{2$bfq<-vBa_or1EP)gq@#P{fESKltP3CD6ug-@xQE1 zI=Wa)|3_q6;pAV405wL+LX|4>bCUpNEB=EUH5HZ0{Y&-AkD^0c{u(c3A7NE=plIT$ zGZMF#r#W8-0|(lX$f{ImWjOd1pD}?WT%UZYbr$*4nxXFl*X^bGOYC}W4ceK)h$|F8 zYu!kEMLHsZB1kY@C?vY!W|gQ}dbf$k0VxCoaC7pa90R#LZ45x+kI6A}{pBC`v0+M7 z)X>Zk)$RXAihsHSy_Z7x+W5K;>3S{WOxt-vVt{8bB7I4rvW#QRP%( zS{a}XZG2y|?Y0m(Ag`q)lJUONhwD}-YR}k-k?Y7QT2W zh-`C4RuZJ*%70DBW=E$9;EMjr(h~&}g-6M0i^xf^B{}IhP`OF$QE19AL_ zTqrO_-v|>b)&1J9a8s^nEj<>7=y&+Ai?=2FYt0;gMjJaWnwNRK;%A;_J~qN?806%+ zm4(EG?Y>kj(z51WssovsZ=RoDHZ6*=5InNuV#cAmqebLY*X^OdJ0>kktT)bEvt7cQ zV)ImrCG=T<1GB8+zO@9IP5)(iyG+|}O;1Tsw6Q{r{2~|n?~p0Frl`M{@t=OnJ5#mG zmT?gyBSHY$gQ~=sKH4(pkm1vyjb+ITW3jK)7D&;M9(v($_86Zg=5q&*C@y6?; zq*APysYb#e-~QkZm<|vwBf^tKs*bE_A68FNkZXWR1(SvX#9IWgB0`e=hnqK>HrC~%^B18-bd9x-?U0bljPNF?dC?#6Vg3hh(eEjFjvqJ$mt|m;Rw?}M zX>X3Zg23DgEcgH0BjFG%K8+$h@NDuQlj|XXT`;sP(C@fpmXZCE$ntneyy_9 z0>q1ff}S~HgBlIi*iM0%P6mu0cZ)_BlW#@5c4(Zc@L|Utv+HlNQ=ci<2hXtE(Xn!W zA=13CV#4EKkm@6)=Q#Y(pmz*3g&$z ze16qBCIR%!dP*C)&Q73_Mlf0|*NL&<5;JHWdUFEvaD;n2oW1Q&rH_)y0VIIgnZ{Dx zvq#Vens63$^)%}@o>Xb+S3(D+la|YXF94`^sqQ?%ErN<=cNbQ>9{I@+T(Eeh$k15# zG(K+Jl@#fi>y5WZ_1tMx@nOl`ett@NtF24@R?bn#S-n}#@x8K!Vn{3D`hHjZhgHXB z$bj7XSQ*$4%nbo`0`UirfrHH@7rxT6@OiGnyQi;11>M~~Y^qK4Yy3X&@k4ZMo)iOW zA+T8+bmk>?kiY4$v*clXAr6oqRS!)MnmCQY#GzaJwxq$9mXo*;{W%A(nR!4j&W$pe z@-UkcEh47#EvJ+mw+lu*P=0}!MUr+A?^D%Hya2PkpQ^y}PQCs|*^s{puedV+1cbl` zwvg7v+Lbf3p?!L26hSSjrRpMKI$GTJxnAUgD*k|U*uwcSgkS0INSBE^%Zucft23KH zdIY1nMiQAB#aSuocdrFNxdNPz&4vnJ*Ib)We(Uw~n>b*}HaTSPHnk7fP-S!wvU(9Z zGFE-uiY}j^M@FHMPK35DM$V{GNKQ`C{IV|DoCWNN6<$B??G#P&J3^pgMj>uxyEJRw zEyq)L=F&_5@`-cC&CJ?7<=(sTPZMDLV7unNc3x?Y}Xadhe|-VNj&(L4r#1Z4{ETUHy;FkP<6Td>s%1d1yl*I13z$R$q?Pmd~- z^z>o1Gb?JtT5E7`?C6t^3Il#=-blb59f$8RAUe`oVA6cHcSRUauE=+E9JLO;tjMFb zsemLH>9vQze43@fj^K+PK4Az(d44WY#n;BlxGOVQ?N2w&&lYX!Hu6fZDIVg5iaw#Z z^9Af1vxHy_>K}7hZin<<>i)0(Wy@cVllZR=U9#F4Lo+;vDG*Zv6(GrO?TO9;Txk4) z`xJ@nK&pi|D&xGX`MUpJT0;+SjZS>a0|V%v_k<&PpT?${QGfAcI@)o38oGD+Et7chkJ0=kT?eQz)PfDc8Mbas8nqJ7oP> zmpM>gk*erG`h}FN$EO*b$PmZO@;D9>PGqY(=5&YPASUxE6sp*dpRAyX3$N0_t)WWjc?C? zs#L?jX87m&6Cb!BXKK2w#?wOCjKZ4@i%l~PO7Ez+TnDnDGsvcHMbEu+d(?OCFMY%q zXMj8bPVh7GPVs9BPT{+lXIjk8PhQUB(K=ZYcmtr2UCaS}M-oIzOj~7jR6?3Hs|#U< z0s1@lwvS^ANRH?B;ibfK=!dI0wf2Q}(KPc^XD^^-kUrClzLuyzj36baJYG-J8k+kG6cGv8>^w?ABK>se3kRgVRvgMoFu4!-t8Y!bRMvx z0o+e$b(@1k`x+NokcZ?eY`S^&KFQ{BHVjg<$!Zr?UrGkrxM0@{JE|>z5vsG=u~xg2ApzADgq`!7 z7oJiqA0Eri8S>Y{cKwr7A@mN43qKY^tpH3cJ*8(Xcx=D5p257D)2H)t8+!37j|LQ; zJ+g(nR$?=^Uq;hyr@0bDrY^sI0N(l$pie+uVR$xiF`A8T!ftAX~G|6 zq7E<`MmOSOj3?@3OJW;6#nS4~O;h)V@bm4~Cc_nQ^m+pj6EH3h=C__`_@g?gz+lw6 z=fwHa)zf@AVw=hh8Vt-p8&c{vcX@p@*XT|Lsa~0JSV*_(dGTyk@!L>tlKE2~gu{_9 zq~0A1?b*XQXUH85sThD{gN)bdYnP z0#qOaHN51bfgxgbVM!p0Ve`K#gt0@LKOmc+LR#zm+D2F3R>KWWkt5pR>K=1cRQ5)U zSJ%t#i)}3T**I^)NXVOR^D>@KYoI@e1B9!x0`~CI04DK4^r|INRR;H zIDonl+q_$vkd2{pP-I$A@~6#)=q~;=t;ECnJ;W0rz*0e>nhU{KvjSB7AA-F)sNmM4 zH@BWYqoS}8_RRMILJw4o_o|TWqjYCLh`SIi5}(tIk45%FXEHF@?qdP9t{hk0D9a8Q zwuJ0n+kFSbn)mbrJJ)uG%g+v7>qB)}BsUva)isjrv}!4`AEQzPMT502|B1R^+E~G* z20^Em#cU#bHUF;rZ;?|Rx;IBQ3oeBMZBty= za{5uuad^v|$-@iaTseokoJtq(H}%vr441@~OsXRj|64|^a(LlCb)>R-K|YV4Z^l$x zHYcuoF`WdL+jsH|!oY5Lki*xGO<(H<|F@d7uc+EIE@H{u4^TaT5`AVhVm5OZ{cmdj zmKLoi>zAQg^E0SLi;~Eiq5kj+suk)g6s9y+s5maQE|V?A(--Q6-_oi;?l5ENT6Hfx zF_B75_XiYRWyy)JX}V+k^W;90rqt4nO9fESkd}%5%>RHLIRLI%0QnS^lC8|xp%Oq;HZe|YHZSn0w_j*7))55@2f(uwilH83KNo_&pbDw z@^d&n);}tptgSCmOPD+?dUK}v52`=~8~~_UiQHkp2oURbfmCnH66vD)e7of{(wQy> zPJlK%db?)pl%ZoMUvU9k2gHApp3E$Md$ol(9_aa7~XpeZyi&S9o zPuezAcY(9Us&e~=pPheEk!2)Pkffaj-JEm!ceDy9VGxXTCk$0-=h)ve_o_+lMI!}5 zUc(%#PSb$FzK~)gjQATS|0bV}bJ{KRD*Yg}_6{&UA7|YSuSQHCkpQBD==VJDq}f{gVRzshdGrVmct3l4 zd!gipNoP7}%7q)o7_p0lM=>T%BU=Y^%;)FDlY}SqJP6DcL=46V+8dwuykIXg$-mpu zz3|hk!NuTeu=Md6GyQ2H$OZuH{+Yy}r#6Q&W?ogEAKPp@}eVm4fHH zbv!RiLjcTI`_-pY1h5n(qu!9<2Q$}Fu6v}WUJ%(^`ctIW_45B_vi?sq{+F>^;{E!D zQ{~RkcOx;|Ttn2*zc{HBNe)Ts5WZMqq69p~c#t?!Au4ss(7a@kN|VBA@!}m86(1=G z>U~i-rzvva^3O`Kach&fVWb4qJNhs28Th~(^=}t7B;11aDO$xWhAC$!4*=mMr5Ss| z_j#mmdmdl|`})ZxJu_AAi69S}y(>4562sWN2i3u1qa)xyK_k=Zi(9y92Yi<(1o|y#lfV$gxH=ZV-AI!|EiD zEE7$9Sk=(V!o4Nd6w67vgz^TmW6AVGQ15~AUY{(Vok8WigKJhFvSNhtUXy!j7B|6-=5QujRPMR2G${!8+%eK1X`#Kw4X@JJnd1l zD2AGDp3|sm1Tcmf90J&Z#}QnNK?DydIg|n<1}nuP9w*yCfODg1lhJ%3(R~>R{~`rM zYz%0c!tjy=_IV=2KeAsu30#IDz>YbWN-s9@oLd*gsG@ph$#1FgSGcGd455XQ13 z2wnD3yzX7XMx8rE(?Vrp;h*uZAXb>o@$`bX4`18PiW`aYy$rbkMeS$ngDd*Pah8cq zPZNk8eB-~D1#)I%l&fLmaf|b5d($Zx!uW7AX0-`{nkV*tK8e4z%LSVsdR0aar#r1P z17T-QGq@C&h!;UhZJ2)?p-Urt%@NI}T&gE+n114gnu>yL^5o6h01z__U2fStaM%uA zx(0jR2?(Y#JF#AW@$#Hs;YIYH@R}b2eoD{R9;F`v>Ea#(f&;f-{+)f-O`CGgJIz?X zZ@yrVb^Q5eIr?B}a)c<-c7;DZrjRC=g%X`l-RB?$yx)`h(jCf_56ny|N8$Q?$=xOitp;cOvyHIR^yjrUgs_9cvfO*}KTMOV==%k zKP>P$FxI9VYM7>*RLIelO>5x8Yd?Tn?(U59ZMrW++Z;PsB;~jX0_;9`jH6ATUi8SM zA4gxxr7t%%2FKC8afI8WvJ}#Bu zLDVjx1>!F$pGT`}{rqg86IU|sp6VSPvW|R^B;HR(4#@2$>E=UnT(WFlC+Y{RKzMle zJO)$2BpT3xwA^{O7>_?|{Iv;_OYnImbE2~qq#CpjGOpSh?y63(Ivkq`5|bWc+IM|; z5ijm@@qu%tN{yf1RZ7pN&m->}7YQm{-+keB34N4yD*Azmrh(1P)sGDFGr3~bX$$EH zjr1Tz3RQTxg1 z>fObW7er!v858JAPh)RQf!&!E7+9hTwwlBHb$N=UkpBv(dq-s9iHir*|Eu@XzTT(? zZu*&#bUku$%Jh%x#%CN>6=5sh)$b!G(XLD3b_Hi>kLOpyM-&&;&$IxfyX)bg*Axt5r|30#5FJs}Yb7 z1R?~lEavALfbzzAVCODYg5o*Vk-azk$ukfzgp2^M`DXnDgOoM~Fq3)T03U`3cw`!X zCpmvhA^w#eWZ;znsvywbDY*lJO)ub+S1>nM6tS()jTozB0H!k8zf5JxznjX0y%J3b z2rt4O|1ZElPxP2cjH1__gKUNTWt}Qw0MhaZBsj9{@G0-twQ0)xY9V|L>D6j|5HG|5xl|01-JD{9DJUDQeP#PV`=+ z`g}M`dT-F?LwxR6(gMuVK%OSbcHr{`S8ze#YS>T=!5dL^hd(8HAkF}eS3%K7^oJfw z-6QF5zcG~pXGBPsS@eL9$M(1AT&&6%UKA~V;%RKyHH{o3q^+0Uvz$5S(b>0lzyKP@ zkC4s+k*U?ouYoH4A(4rb&jv1_NY_9V`#-aSXiV&m+zmTu{522h%2)Tlux5t7Iye)S zM~)Os+aQ$ekDm&E2e;00jacaNmK4f;KcCV&=$K78em#}BcjETDW0MT>?@2%U1y=bV ziwLr7jZ>`HQ8^l8ENZ)mN^@2grO6>Ji&kp+V6{UZFaBm)MdX6>DMPUqq61^Lx=$DD zm3440-fjH(X;9CpjRcutuOG>62K``JC!X=g1XVps`J}R5b}SssPJO?*J_=>LG8*K7 zl<=<410?S#tNBDsILeeiFo2$t){uu(MdP^sR3%h1h#acyCMsePb|jZ62=uqs3W=W`j_fOO&DfykB#*HiJpuMrt-?8)C5SRKv<{*NmpjLQa-A z-|Vu^bboq^;FFIa?#UJKCv~Y2i{^>}Nka~w$IIhY+sRX96`i$t5V>$VB({kGmdB@Lm zc`Ut!O8pNV@%7=sTj8G&puH?%Nc>@>ZqKZ52KHDVeDJSycy!5aKaHaNA^YP}h8yE` zSJjDveA0CMWu{RCYXo-W>n1@auFDTXM{35)?Ld-&&>u+N;jXiga{e(LHJIJ(bYoTH zT#@Ek`H@fe(>JB5YT>tH=x6@^M5(@aWG_9NlJE{MIrujv;I6zsp5BhkeYh`gr65J1 zI@fz9f`+oJ^XVX&zyo((Dd)}ex&X}+S(g`QWaQ`Vp34!mu>~i*-@Gf~3~pW@g8X~v zUCvxyn+y4!S{(U$bex|j+#EbfyK{KGwT_1lcjHbzNpAYGQS`y4@a_(I>z)og;0H%*@WSvZ2wn?G!OZ3l~vO1lWUJl5h9 z%UcJM>{ko{y&eSj+o7dB$j8io96P_$#=eksS0boCm}3{d(n|k6N|hm%BMkRO9NWyX zoIhBU(W@_k_3uSXQfEx>yq_*teW-L`n{%8>yLijnf)|*9z0#PtK+g(hMi>E+f5&?= zU7w_?0lm!E7g_R<8dq)NK)y*t&P z9^N{3RP944%i}(+d|8eDk*gFBZNWg5FM7}KXVLo{Le96F;|+1wBe%_w$PiOi(-zh# zecg=-Zm>jR?5uA0NQMks9a$>m{}@ajrxmsPR^OkgTp0DzAl8|{LVwQ9%ibL+O5(gM zjR)x*)j){iReI4%D;BgxN;p!Trfiu${#KaMO@m4e)!Fi|92m9!y&mT(^Jp6WC z{-EI#EoryOz(VTY>ZYoE<0H=tcdUhbEz&`{B~b)*^c#3E+zXf-cfUMrtkJy zJf)IXPuvyua`cP4aWU`k~=K7U+P8Z z#$I??@^ZFay~;M%Dm&lmhHKZtzWmv;KButOT>athhmN})yZ6nHOth-nvg(ypTg-)* zEI>UQ=DursS@E+tuVk%NO_h1a(f#&KU#}Q$k>0YS>Zw-FU0c;p<<8#^o89nR{`vaW ztq13Yzn}bm*26tF+MQ*qeyH#H(EI$_&g%2G6YH)sJx`Fo#T#XzZ^`{lK80!D6=k`d z)k(m?`?pShaY3uvwg#*fyIK*V=}~lH@%v{f2mQ0Pm)7nV`~UBK_pHn2Q=cZu>)bVq z*j4S&m28{n@L_d{!^e9C(`{c|{3BfK`}UWb##v$S{}DRX9*MRlAyU1|61U3T&E(B~ zd;fLSg~eZ67UuC2Bs+7Z1Pb!sCPqW+v9l;+N`s7bel{mnQC!6FjQji=XmoV z-<16O>syz(HlO5Qp1FoAT`lPTp{2KWUNf8CtS%MnthpjxW2K9~YKGR-wn=Q0|ENxR zo3X%4Ixy3Gf=-&6(B|0OowIEn=W9Ekcgs~jIc+DfFOzntd&4{fg_&NTLZ7X_xRt_Czv!Ut%w!3>~4q5;rkbUwsp25B?h zRacn)@IMY|6>##149QwpVxakr5oGJ5nVk}`OQe8WvmULTc%Zz)rlj6o6KLp_rkAqk dqyMw-n|^b>`!Vxlz$2^}JYD@<);T3K0RXlqXhHx0 literal 18833 zcmbWf1yohr-ZzYZloHb2A>AO2lr*ArN_TgIfV6a%(%mTy(%lWxASK=K&VA0k&+|R+ zeeQS1c*i(r2z&3f_F8kz`TzfF67pXD9WoL=5)>2^vXrFQ2Pmj#QQ&`b1UT>tN8QV6 zC@4}WDY3Uou4xC$Zdytgx9umR$#~no>w*|KXis$vSgGAhXf&_v$s4hs}xZq=$4bGFxKiM|p+P>lac?VFe7j|P6^ z+=Ge<$0GWICK6mE0Uu>mw#x#JQR1wF)i3+t6@f$T`I&NV7yZ zN)S;UeYt(0&*WO4@TUS;57*LRxMx+=dp1j|G_c7AMEza`&zM6MW6^)ArTbL-OM+Ts z$W{A3>0IL~N;#X6x){598ri~`Eo0v2Thc^dnG=rj=pwzTqL`U%+s>_l__K64`_pDr zl_LkMr#uV3Uc$4B-jN?sNc0}CAkq$ zYsw(OkyNl|+}$-SO>}6)?^s(fEhh703o0?Tb*nG4FimRgQ>%TrZ`rV<1?#hic-*p? zDA*5J43RC54be3GD|qDV?H}ZI&0`%71rn_a8`3W#=nP~W@TTmSvFx~W51jNK)H23=*1`6A}=t>3QaXI5Nx$%lOtbnk~~A)mI-zvrXa z?S8JfOZYku#GV3Hl?>M%GYL8`U~Gc; z2z*oF%8WaDp8NwGcV}>aa97rvRCbckRy=UznBau(Nj#IYiVY&bl|%DD(H~jdF4RCU zLq7cN51rNky{It1&|EEe-bO@zwK#BT^~@_}QNpcX!t}$7$7#fbqv19NWQzr2A8*3j zTHl4Es3b()3||>Ms`A9sTNYBSomG#}`1r1&UlA^G4vS-2<|VCjMt)(Qt#uc5XT zs~I1A73;E+K0@KL;2alrwctFk!T0tUyN<}iIz7SZ*Tq8}(e6e%X~A=`r)}4VC55~0 z_~(oAYyt$>_on;%(O+lxSLbHtF6#o$dlc$66-T=+-Rqvmy!`X1x2UTia9=I((gKEr znARKiLoX3r?dL0$&g1@Xzb(tR9*@J#Q1PE9bVIASHc*^fATG*x^;2rfa-K)&*HHD- z!bgNHl3_ghlXvd6u7*bsHh|fZH40zmbb<_ ztCdosT{)_2D^#C#;nkt5DKc1Or7~X7340{!squdzZqqRtEc|@NP3X|c29S~k3D2bZyH88$D|vXW5b--JJhJ|0-JKNxTE)V&}1vDz{_GXLH(@~kJ$ zp-U+5v(@s{#NtSFj&aA9MK+W|OX=v1ke1KkKKq~YuYdX#HRl})>!N#B@!q$=S@J$R z-0$M{nM3dUF7w>r&(tEZ&xuc6G*Y{ZPv?14IkSu1zBsz%wDX^z>L02#-UzHJPCmk8 zXr$E&J&mgOE?EAuWArnPN0*){2wJdyGmLy}OV$L>sc~t~rlg2x=I}&UM?~d&RhxdQ zym4{Wt4uNV!D`fDACq%+{Pt_y#Yima>BwklW_{_8S?6}CFP*Ei>7JwwR2&6*1gx)- z8qBPMew@}BG=8^s=W6yra9@R^SP=0{v2r}oj44%ko`h%H(%e}a8;)P0u{MQes*3uO z$Cm54JmrD}2B%{k<)7{+EUkp4-Y;qUgZh&}yL%{tPz_1N*cQg_?vSIa1_%{TL& zqck;d27d@?zEM(zuj4kf!~B+e`od+ZZJ?gDsnVG^VkTRDj{++`#@^~~$BQIKmq2Ca ztiijvr3^mDM0@oFn^$O&3*1jAj1ha;aQOyGB76DhXatXqrrCU5FS12vcg_9aUT&vB z`mYTN+fAEAvhQ3@deZfu4>2!=?7;6ilfq94EUoO#&5LzIpZJ38F20O6+n2UXO8w~* zYX4M46?<-}Ip4}?Ie6tF(SeH;Msr+8XVwy2RGxF_TD^EPzBsZ#;`2xK#obwNYUY-I zn?{_PF3hapAtr01URL7;)G~+ehk89xb2X-D%qx`bO`&nDj|zE3oXgjOeM5C#KZqyb zmYm*e20ml7qA@$0K{PYsOHSZ>n!TQwcFD1n;7XIKODA-m{hYW`w=qoMaAY9*)r!VP zmv8Z_aIrwuJ?NlM_-VCoKBZs_{!asenuS` zIa(`RJomUQ$3%5u!xx}@Q2u$hA(%vW9X?}hSGPMJOF4Rb;d0hoxZh#Pvx{}{>-DOa zrK$wc4_LmZiul3OGflRkmo@1%g3fr(_jV5to049oa3xf6&#w;}4g;==-_~J_326r` znlGYvMp!*uH5~qQHT6AwuTb?$Pyp#<@6WW&pHJ|Mdc|$*mWMS(A6;eL8qM@<2{hRz zUe`GgWfHa!ukQ5fnOeAh4=NpnLKQLUHR^@;&$e$ zXK|89)ZJdZmofk?3;pa*iKz6C=zyP?o453k(?r7pDAP$B^EdcF4+hXBU-EBUA_e*8 zG;%~S08c(r{tZ$_risJ?-ZlJqp(r8JB|^^&OD2Z3UIlA^x+#@aC(del&7$f=HlQZv z8bJAqETBs(MtCGcKxV4$wL~P|Vd!>XSU54eMochTrykP{Q%Ue21m~lrka5$WhHQ41 z2kIL2*2u!CTvo15kHtn4sO`Bji48sn4+l5ik&{-GR8*|&mej|kUge$owmri=+Hn_| zC5e>e0k4%-jyvhjV9{R@cWi8s)C;va?x9migp)Ec9px=Dg%>ZD)3L_YI$6w0p}!Kr z{B_FwQAv4PaPPt$6Z7tD)#oYwu6Jd$AUZqgjUIVnVPQd$w7ossvt<~yI!inQiAcRA z{|!kgDcZ`6?8D-sfs>71JT6Dv4PwG~hK7^^9_K@|F4m3os%0!r148!2~7JgU6B>b^Yd&T!IT(4DAiLbc*??}7@ii!-4 zjZfEKFtZt-<3e7nDV#hQY<8P8#%9(CFDgpow~Ik>ar(8{A6rl~kR={A*X-ud+TcJ^ zuG5kNPvRQ&)*sI9SGym2VoQP9>BrbDXfSOm2dMluy{wGqWHa2h@miNJ7#|-W8FcHG zbeI?!Bih@Aoe!4YzJHIlHrPc}v?F;}RCGEa!^~=N$&gj|Zf$QbBea4{>$TwS8q99P_hQ5X(8TSfpvU(dZ>Yr; z$Mzg^^79?Ghw#22lYEiS-)J4bs`Pn!^fU@WZW27s&-Au0$e=jP&(A;GnHR!iGeqD` z|LSqv!mV4Xj%8kE&R%Bk&RqhLx3-L9;GADhf?RL`1FH9r@(sWY>`wOy`Jk)6LVZ z!GnnTL78eDeVZYj$HzOHs_JU9=^|x6Z)IgFLtAhyC_X+uBbh?SV^uiZfnNfpA=9*I zN>z{Z)M_*I4V^&tcwZdt$GIw}7eu^{zs~n2!NpbmbPvs{Fgv6EN-Qu?-u5^!kt<_1 zQG;0Ce2Ro0@nv_{^iFbJLcrtFaAzc)Qb(SWg(Ygf+W2gkdvz?^BvNFsxX9e6WZJw^ zjbViG0NFlP($&>9xrQd7AZ;CET}FAOaL`p|QHsgN#zv#oycr&9df+Dhf=t0Mn;JE@G#kecXxM149-tavmACtN;K=ghG5V@+g|)u z#uV=`WLw+VfSD^(vKNz(fCG!o@nA0cD-qwsB-A3Z7M2DM{)c0&>6l0D8t$JSeQ=~AM2nxc&!rvcOa79iA(t;PP;_ zm+!cFqw0|k!z97$LbI644M9=v4KH-R2VS|%kr+3AL~dDZe0(5Q@kb}3T9;!TmFBw7 zB_#~tKGdrmLuBrXWu==A>`8*rvM8DG{Gh@;Otq4q(+(sqg)oU-e592iZB#ulG%|{J zn#>}!yw}gBL?sIl)v`yR=ML%PK2c#*T+E_$Lm(sEt}1s(Bp53qmLe7jp0M3RmF`E8 zLLjr&K8>yW*A_#G_W#hAWZGT~D%;!JSEw1Vqz;>M3cOdY%@Rcy2{zA{rjB|LBKcYL z*fAYfylIHr=wgb1AMr8|v_t-Q3+RxDkl`zSQkHL1RtI5=z0t79+D#nq@}=}|Yw< zR`;`PMvN?J>h*&`xwSKc?&rJhlf#xv<;qpembgLh zKU!|W^IF{{Uj)U%5I5Wq*Itr6Ns@az%4nd+aD;5puqVn$hSUrXE<718DSXe+#K?dH zSG&;_=pd!>gFI2q{S>OpKb-pe`fN=x^()m_aI4x)Wr&m2c??aqJ`J$yv8Gn2S-Op- z#YWKf`p(BiU=_@}*n9BPl+DYEaDGm`fe6Fh_Tolx8rP zXE8X-Np#$_!@QA8{jJ?JIwDzsul*bN7;Y(m8Rm7tL`R`Qg({wwR&hp2?ja0MHZ#oC zUNiqdXGWX6iOXKGiCdXeRhc5E#)w^_5=M^$z8IE?*bf#K4vhfAqHRICczT=9GCq|b z8U`k;1ql$AD6=7v)MP)_3naY6QCsQ@LZqm8MI4J#IMW(yHAd>8c?kv{b+rq71jutK z)GF(wI~bsUCqdlEfc;|6%nWxjhBZ%z`fkWtgTu6&Xm}wzWHpGE-k;EbZvKmseYjbY zoc*a5ICOYxM;IC!2A9|IHB^(!F%!!vR49=bRMN09+lP-VG#TBtr}PrY1ilKQBpNlA zZ|kj>)#@DaB&@9L%{e;sM>_N+yMM1ubVJrbIk<`eIW$oC7|)&F8Rk;I|ClIeTi$eH zw%esmbd+T@m;l!DD=zl%hr3G$&=2hyc+><+uCHsDCXE0pjMi=R#!)dtm(Wouj)&v? z?vco6x|G98#OwU)a?vIqltNc`_tQw9d;cF!`x7T5M2+@aNZ8eZ_?+gyj@EQ~WJm+h z$W`O7xmKv$_?dueH@ zK(oF~)a3_2zOP&8qJ^8An-LHZY3*AjvOAWSiF9>!J-xgJS=q<}3R*Wc;Q}EF+J6MG z|FOd4x4tOWUUWA48%^g;AyNvGWnj=KG>~J1+N2yz*oh7i?*2D`{P(%-ZWIWs3@UR?%mHAXjN8WHM)b)B8Gi1Jyc%SR3 zyCC`&6@8m~%*)BCIC^lBP2umS@@gCacp3t+3)r;SpcR#|T67{m>n~Qq2)LjBD&=0S z5>k+t-=0?0*#gb8%aCon#_S_DgO+b(Br4Q#^8?D$!?6!Is(OBCcRU-9%M(`=4s|V2 z*XJ22=v!-DU(O~+g|_x>#yiCgjSbn1hj7o`1qisUhj4U7M4%y`)s1`%JHGN%_+XD7 zhRsOM!h+^oYcX3TV9p2U|IEe3C4os(8W4tq3u3PW#n#m`f&Tt}2DJv?xg<4xef@?Q z$3QBXgs~P6m!O17me|Y`2FP$wHEgo2EWyUysVOTvA1-ujyDS^|5Z`LOHt6}P*E>RV zu+S2wcom_4l-3BSfQkw>y-LX^%_%Nt@9J3XCYO^x6sS0+AIoR6UrYNvVl(Q94X1F< zR~o=8Dk@%{y6xxY=B~|7M;?wZCUaU~my0(WX9`&_y#a{&X zJ$Qk*`ykPT-EG3~sBwoF@{!YnMDVC=P4U7~^G1`HRLc>`=mZkOg&lc`0A>vje+eLF z16W#LWMOoVukq96-)U&1JYd{a84W^JXp~(3aQZ#fF*+J)_A!6FNx|mmvW=k4+8Z>L z?AJ)V=_5K^Ha?AKr-Dp$g38K>c!bctZEZpTi`OPT-Q;J6gojg7P{4pvX>VWEq77g^ zfXbm+W3?8lnBscx`$(bgf85v|Z8@MY8b|>GXat!WQ_|_e_%~ek4JG;cG^?Ix$keeR z>deFBEy(m6tc;$xny-rx+QWWEBs{m(wn3rz9KS^A>%n1u0HjZ&2S z{7Z$mpv~Z)AfDvX9Zwy+go?4nYtC2p$rIy5UPHnwZ; zW4@V>ss|ezo1~mvu0iit2nfA&soUAh&&;!K`2k9g_uU~k!0*F}nym~qLa3;y;SvuT@MDw`F0yU00GyJJ(^D!bqBwq*qyG%0nc1d zbE*#dWGjO4cr}I7g3asJ5e@}ca-%0=Z?;@FdQfR%bv>byvb@|?8$}mPDNd@(J`$DH z+Z9)j9|n>NOqC)Os}kMby+Dd z_-?sWGj4RKdIuYm`WiFhv&8tf*MLQB-|o+5fZo$rzCeRUufh@9!=)`ls8Na?LH*MUT2~Mv zDk_bD08xa5|FpT$_E7Rm62WHKAF{Htn;|qAp9T{cjV5$-=I7`6Z+Ei^c^wgA`+pXG z%pYh5c-+?>*AKLdKZo3__JAH+w>>zkx~RI(qDxw%vuX~>rt`+53f)JQd25fG*WyM< z#Kgpm72mS$RoTV%cwV%X59E{*nAPAz!<_;Gg{wzC9~*3H#r}Y^YA2Z4JMv-MGF1t zx)QS88o<)gJi!O;1d5cF764}xhu>4QL)7e`q#C$g+k@^N7QuXlmY`Be)XIkYGAB28 zyur?3$LVVz@gE3U0kX+>sV4XO#zv#<8Z-c@1_N=l2XmGFK+yR!qiF+_ z57_oruRGV%ewspnPTszK3!$`t*3w`0?M)WjEg)HG$_4 z`~{g{JN2p^29?EZf)WbUz+`q4luVyT9w-PQ*q!sMNY=AlZB2hi;uXhfQ@)m)sH4AF zXT_k=5DdDZ}%f+WlbM0R0DY42k!(AHeiyU8q86 zxVU{80v-r2UWh_E8d6bF*6h9%D2pCfM+|BezN11MoRuW)cobjv_9WwGCs#AZ;;w;eVh!morA+hnhlLYk@RDVhun#G;MqJeL3{h@ZJ z3Nb>nSU$BwLH%&t>jX{Uxv+)?zK73}3?M9kg}}9&SMp+}#_w<0AKxVjcyNIZum-N1 zkjFm2=V4!$|7J6GD3v=BFl^`Jm0>WI+-|lKpH-+aK$+HREYw+rb$lWPGY&`;)vhP{ zpwaeGMDmM(9`3Sa2fs6#S)Vv-Ufa;r#IFAn0Se&xWI-=pjnagi97;&V2S7AXB75!e z?wE#+ZQ}c2sKa0%Ri6lgDs6pnMbB5FK~NH_0aL!SkjRdv{g_u_w2#f}xZA!rnUBY# zpX1e9RCHOKS)*aqsxYZhI@7vQ_s{M(zCXB~)CO=7#k;SDV0wiU@g=Yt^sv~h@B>Z& z^cn!nv2ONSb=$nf#Kr9ZO8flza}t*o{$jmNK6o)Of!VwfuroILdd(6}d}QX z6wo?4I?hp#Ml+7D-b~McsV?^E6RDq{A0Whm<*m1&PWv<0 z#5ie$96`VNzl3_FI|tIyQP0-D_yt{|22GT2J5=K1uVyrnr2(LP{Rc7s-*?kDPBiX7 zLmS{lf$$yKLJUbyk!DdLkJrF(n4u1;_$#UK?|GKiqyL9jYp=?VvW5b_1gbb90DxxH zz~Gn0?-m1E{!0SEA!Y5jMj-!7kpm({3h)DX_~^Bap@8-@Ww|Bd2^iNv3{s4c@9P15wRuUdp?A1)GD)r$rAXBCNb*wMC z$0lmAjh9Wcz%pwN&8nk6xF&wVM?0Vs?Gj8S)~~vyHXZ$V;d@@=81pg4Y^G#h?rOt) zf2J%Jz*;o!KmX;G%xVSZ7SS13C)d<)q;QyhE-C`Npyl?$booc^gPXB2HFN79a)?o| z85|8!hvVWfm6VOn@`f37YH*d6dEC}1iH?4^_s7zJ+AAK0UFmja91|OhxJwK;*JW?9 zYWa2tJh9=}x3`3EY};36|HWab8Kt*Oxu4pkb9&|rW-@moRTStgr<*x6h`Q=BC@3idfJQ!3q9f|*$q(4fOsS>;2Y#dH4V#C- z<>^F8;v7MBB4kB?S_G<1pnSflBBqB=Q4u=Z8`yODAh6&;34fPA8J&?al4mn(wr>ad9fCnYDz9k0f1_Bmb5C$XQe)+nl8S-oZ)(h+YP^1JkwTecO6)`-vtN@6h8K7P!g8^j$9==jv$)dk~w^>{{ncX_;#?y^E#_fOiO^y2GR z;ZvQS{TKqo2#IX^lonb5DU7-;==%l+IwvP%sbmr{3G$cV!CLEfkb+jPcP0WjcqcS3 zeH)C*t-|b%2O~Xw@mSTqkUDd}C`5hTMx?w7&CPNiiC+d)K^l++1o#F+fZ4^0Cb&2KskU`^DcbzV^VDZyZeVbuRU+yh{DgI1YF^-(%1z8%#tyX~yDuoPmA>2^HK(eqsMqrlW z*y|MNNKX6+P_F4%rAViJyR~i|NU_5L;fY;+U-}0&GLPnH)>{Ma!4@f}Xo)DAP3w*> zq9hM4?U@Oxo)hS^n~pX!UVrf1TQYzpfWggO&lX1S0t;3FglM-lemrs@6WgLh%)x&> ztgHrz1caf3wwp}ieIKpUfl;tn!DG|%@CxSkIg9yJA6u9VnF920%jWd4BH=1poR*%KEdP(2n-kA z0;3Fq4JtQ!rImM#H<)C=oO!(7K%FUH71oc5Rnx2!p+{Gh)}4yfK;ay!Nfl)%U?NYhFY1~uOGzOq;SY0sV4={KyfxjCJb zlvLRPSLXS-BZM8~WAv4_N5}5cD5Py}@tomZe8Tlwbfn;17LzWDK07I-r0F&oVp~^k z6VPm17!AA*IGw4JM$Hd?sh`6MV!$0&8Lc*8G z$jApyPyv8ic`zCax)T7hK<(+YWScBeXHN)X?wy^j7xtivZvm8png0nIASF>i^soP{ zLAo5c!rQCk$RgEw;+B@5&fX6fsu>xV92prI=LhrEb4H$L5!{d)TT*rzGG@PeI`am& z^?CcL(cpZo1rE4PF)^{R>crAgAj+jO>RNYvVu5H6`}Df`fRJ5A56<27qGMqA7pbZ@ zS(gfwO_UTjOY??g0A=K8*#iOp`gMfOerKRevR1QeNVN${wDSd@ZMv;>JTc?$xs-R1L!#>TbnZE8fZVCjKe#2lcq z0YNbYVx3Kw(}P|p-1f^G77lJdkS>HKbNS1TUO zfC9n(0)j9YTyz|QUZ9(7*z%2zxF!JeFIunbOQq#r6?q~vCnrQuvftc&1>jbC`6!?W z5EwbMV^ZZbsDjO?A$G1h4#oec9Y!{V1JM`wqku_w?PU5`+f;+XG?XcnX(W@#>htKi z;(0dwp&X0}z8|HQ^sn+4s-~+}Xo=_%_jcQuLJtjo^oZ}qJve?^4p8c{otprKS<_u=LJ)Vr>87vhD9#>5XD|c`z@V#NzjGcjd6z_bN|5 zeE?7}SyR*3W@csw4|dEUAt4Mp&A3p--5RERRPl0ha$A4CcUi79&p&D$F4Xo94KXP5 z(bCd_X8VOq^a~V={gkBO^)G)BgCgZ(Y=}9X!I8Y;%UW0_TVX1v1mgdFy&w~8o;cxTowsJA$sF=%R%uj&eLden88OX zsG`}z!+_N&#+3@MSb5F-l*iodhXW@kL3s+#`O!2yP>GvcTFfT%kR$Lpvy3BBUcZ)K zHXsjZ;A}W&V)XB5FVk*fNtV5wMIjL!vZ`N(n;QvoMBN=JiU-=IRc4-pz5N^S16RK~ zX}bNbEpf0^)$6U(TSlgfRZsr>X*cCqFnC>u-c=(}4P#Bujng_8suGQSMbkw)wji;HqCOIVcp)PDc!3J55oW&k(&!t5~>9D)Zyl{5g@>rZC=*3+)!9FP;fGW01QwNv5G~lAW^L%cq!6&$!v)TE&Hn<6hRdX#t^nY zezh#)zhH*T&J-Q94TOazDS7E0OE$ixufSW%a*sOhEP|6}$2Z-bjOrkJ01p&*M9s<2 z&@d(=T3&86W!Lf4`IU$kjYoj_H;(LQ=_>E4^M(ocot*^lq6B1d`Gk_?BjUJ>l$;76q0Rku}p{eN- z>7oPCuAg!sxeZ{7j5!Q63}LIFHfVByn8HDrWhWK{)tqgQ6z`%1pw*K~k=DQAQKAg6 z#cIUR{tt?6Stoku+e+3-ETYe7C@&CR+PaZ|y74;D{bGN_?`m^U)(?eA78GcS0qkF`Mw7MsJ?MPE`dV^Z#+d@cT$r{4;r$? ztMvesd47IwAKb$VIT-ml=5VcIu!=vB)r+SA`Z;~T%BqpwUdIS_v9dWL@FD>-Bu7h* zHoqX02DGjZ?<r zs%2F}gCirxodJjty(%q@5JYn{h>Mk>j6aSCRe%=uuJ0EbKR>_gZF2re+2L?Jz3Kq# zkIqKN5&_R^ThQ|r-e;1gaG6OzJw8Ad;wb-v?DwsWjSaKex^#L`^!2>WjGaCrGr)Ng zn6w9gr#9c{Km*E}KIjbvMH-*nFQ!%9{&c|K00qlzsll$*KHew;BtjrEEwBP!hkn?# z?^C+On3Et4l)LynovrYm#C)p24}64}n3zGkF3$bpH2XATKHrz~ zO>}y&9>qLGJPUb&WStLIy?h$KBJ~y$;2~RMezt|caKpdl5(A4UfAYJ-GW zLL}G80Dk@1FK;_N_IXN8=X2REwy0DyooGPJm(R>nDb)a+l+|Q}5O6T{!tJdet|#Pv z&-~IJ&MbM#w|<*ll`X@>RMNtLBEG-#O`LCgXUiPopsY-$W1Qw!W1F3mn(A z8=N;TM})lGL|s9sCOzSJ-IvTo`Aec|_~QO5*;E(ibUp8V5L-6IbL=-+$r>*k5{M-%vVl1$D4m-!|tSLBPFT?%>nN zP`0il3GL>-FbRRzK=4gq)b1%RZyq49X;u8T1Y%-IZ|ul{S_WmgP!p1@$9}WB5eWtH zxxgeCO6G(21#$!1|J0)!TP#6aOTM|6t+SqoWDA2I>F|AlT;Xusa>Zu1F6P1a^_;mV zvlB&+HuN)){oPxLxw*OTzGpU{yL+|Ho(Y!Ao`r_0HmpSK`~~0h_Y)HB6@a2odk<#f zOFTAM-{@?KQ}E1?;$&oG3_#*aN>$X^~D17)!2(X`gcmcQ#P~6uCncED(LifC!H+f0KTQg=4_{e(osG!06 z&k)bsz5Gl2c(aUxH{4&z7it~uKWAakNc*fbFvQ&vDS+NuV?G@UvS9eWrJ8jUEgo0U zTYxL<5|5=T)2r&$E(qT2Y;M}#&z*=Y4v&oV{2iKWzg3vw!;DN!!~x657&sM|vt>Fh z8owXKFE{~eohjEH6}VdJ>JGy}L`Hu8>$vSHPqkd<(jFKYhM+z`OjHoloEucGbNd&C zbimOoq;uJoYI@wDPNskxz_F$$x5V7Rgwy*MqwIR?u#oEL_4yXc_Iz#}sR^lAM1nNh zM(h+sLjn9|yOTQa1PRSAKBITsZ@oT`HSV!&CAt3UfH(C~y@NJtX>LwBId}<&LMR#A zBe#pQG`i+G129L$7>nTTAByv$43D7ILH5Z^TIHv#Tl0k)T3xS;_=lLGrB@bRUyyIs zf=CXwK)z7k(sE6=Pc3;H1O*iWTbZ1lzm`IJVCOf4~_`wu8%Hflxo-;FLqaIVKf5_1xu1t0s$^ISx3im8`IEu;>^BAI-|0U=j{izj zOB>;@)ei0a~jf`UyFgru$H@9#UO^HRNFlb za23q$-JlCYSC7H9W*LH331T$e7l=Rq%6?mmmkGh^Cpdtswxb7@_FsuTRXq^0g6vs? z1q|MCe|KrJd<<|#e(mh+ye9yxrupgd4ifC-#*&db+>GF4V?zfr*WH;` zEVZ0IFhaPo-q`{34G}CU5N&4K&(3-D_xI=VzIQGBO+`(8`V&K;!e|gX|L$vOsQ%6A z79}O6(%fm-fGEIdL)h$`7BidsM7oQ*VId)UU_Cb1-ZCDw-W{PYHl(=60~0DwHr@VX zW!%(AL?w_RaDoNu(;h14!&Qn~3LC5~7tL!`<~;c7S0^ryl^4V0Qn{Cvmi&WW<~bye zobN9xJk|%MiQ&xFE3Q~gKV%#Q1O}c+Y!X232?~-&cRA9M&lCjyOBN_N4C+;|AdqC- z!Vv}v5pdswb;?aZV5d6)z5($3YUAPcrA7xZ?^qpo-vg^f>0A1`J|n~B^|dQ#{i6+b z8^K{=8<|h{G(fAQ9gS4y14Ar&gyJ@{q;VD*}5ZKurcp!<=p=h_rz3R9J2GdUky}$n3Tjh@;kI%?R8bIy*Z%5Z|`8DQ-B0 zf}oJyitphhLd1VHA>c1TA7bJZck4rfOTdVo9|Y%%N=T3h`by+_l8f`qbu;>1Xhd#~ znoT$vBO~%t(?c?!4b!VvuNbr%qClJ%r5$9UlUWVmz$2q3C;trO&Fb|FtiYa(JO27% z5G!Wen8yQtTBU2h{@q&M*w}k8cn4P7Rwn03Y4gndBD%L; z{$QQmZ;(9wx^FzYV?hyyy~x5o&p zxV+}iAM_c-hnY*7yDd9_OFAjpk^&~h*)UkL1mKN@m0FN?O?y1#Fbe7^MvRI) zV~hI{#DHrBbeB)SB?5UKAl=n3I}-rI{2RE^ODo(~{xlH6WVPI70a-7AWcz`Rc`FCj}36chwhRFk8nM$5U1XDvDkl9C7tf;WbK&eq{rWo_lwo;p(& zR6QR$?+FYFfGh-tj{=lkP(y%7bnw8aUKPOOuw7xlB@HM^F9?oRBr%#|A_J$NA2RRC z%b9_eUDc~TVb7S<=8L2284ao|Bt&=DoWf~-I`vV(Znfa<&&5Tq%kc_Iz4{Vcer_J? zL-HO_=m4v-b#O2kN#hBuqc39qH=Lbs$`!D0tA(1zdECH?CXiqR1eu(gIxkDKu+ES` zi3rRD`|B&=g<7lLkXRaNhMoVy_4Zf^1^SqvY?%xtv4W_du;912Y8z+oF3^EQ!F6x? zX!emYDArDueoQQHYxSP|*4#Fr+UEVRJ6lddy06ps*M_PzN{YW@=CA(R@zj^T`&V20 zhqHY~gwZ&bKHC19{dg9UnFV|E5kKoZiHcS2c zCjE~cR{2L_HnVlw>b>9;S)sH}&>;%JJ3sp|%7Vo~^Ts0ok4CGs7nTYzy2^rqJ0kWx zOd*(A*)9JFhSrJ(+zws+UyczrGEV^2zgWlrKYP6X$7Rbeha43Ea;M70JRmImN%(vD zU>&`HdZN+08KZexbM1uZiPUJmzySe!!q#$hTHGt`CVR+t2J0NsN(QSa_Nxx!YM5*i zKw79<-_8jK1U(nyiT*x(YwZ*kq|UXM18rZ;JO6dUXeV{II16YzmQTLLO&C;jjSl%; zMq4Dc2Yo*n_^O!bQD+1XG#0epG|NB+lKq}SuN+lB|KJzO7bv;VE z2$UeT1mZO(yV;R!K9BdQNAw_K^6eX`dIgkG6r{neCtDyrAlJFzjo>lqFm9iUMq!H~wi_*lCi`0dUIF>v51B9M*e0~mK!)aUjV=vfp z@J#da2nY=ZJ>mb2GQ!HsZ7R>~7weK3A($TQO{xCW0SyHl1Mpm+z+NYiC&LUrTXtDB z0;Lkf8 zhFBT}Y5>j~9d_(*&&|Nj3P?_@KZXjhckD(1>FUD)4Wos}vh4vUb-WeTtwMhJtQ{|A ztP2gWuhV9JeWhACeXvb-+>&)Mzj+jughy7w7%siZ(Rj)$d#*yy-zx)Pk-vdP$Rk~f zPK!sS?V3o?_SPlq?l-iQ=3{u%sgSJ|sI$vz*17S6U3m~Y&BXZbm| zXt#$`LFED9qY9*hbX-rKV>7E;mgC^#ON0Bw~`daYK^WFX|yJ9@9o z4P&!|jH}7-sY1_|4T~SQPDa2>OJXzz(FFB6PrTH&r6JX)yHFxN7uzSH<6cWv_QO51 za6-eE%SA(&5*WjC%)9{;|i%S@Y)J z9oLRycPJKGO#6Cwn4+fU3o_U=c^dpSGq8VPuQgflz?rXZ*7}{Q3l2ztMO zxjZ=9+M<<~mL}a=Ag$TrdbbHpry2#6l;9FOE4 z8ZV}K4OxcG`kXi3J$Q#lL=2m|Y~xo~S63F=V}NZxL8Y0N;>u1~boBHm+rtE|@Xf9d zzd1+TMxMD|85uZcy5FFP+1#k6YCQZl(lV0@^A4m0s>QfNK26o|&RIv}BnbOJ`w4e8 zi1iALCvpA=XV8;VP{`g1A_nto$ColTHPpJX%-$MT1cE zZmPCkGctqJWa{NT=uAt0pJUKTvPQF5>M3*YEUA|j~&u5$2fETA^lzy5-^~SXr z$Gn!#1TzUG5ov?VB*(2Tmgj?q&e^%S&s!074Hy4x=()nT9M@`o_`tT0UtC-aj_Yry z)Cq4Bb`$4u_G)}_hkDQp(4tKDPF z1^}(3-I8kqRIX?R#gNPHOLbk{NRUg++MY~lz*`#cstyB!BSR}BTl@7FH^aQ-c5BoCuT6SE4z~Ejf}IsLq1!F=>z{L zdHW?kke>C3K%vm?*cZph$SArkH6_Isbef#w)TAWe!L3fP7g*zN8?uiZ6ASA*m|?ja zJJoa7+?<@<52e0yOJ@DSH?tND5vHx(Y|E_0IbxOJ%B|lC6@1)M&KpoI2Y;c$u6b;Y z9(a+S4Dr{_uGtPXt{PWUS?z#`rtPs41q}^+P$c1uA+HJS-p{wCNy^?z$>&L~JYRM2 zCBZ5hHDm}PK`owe$uo_Xq1s3RvyWU`Q1%3vf@bvu^fqq9yu7>9o187^93h#=j`ksv*qHRiD}zG9o)1EfJTPPzyLxa{ zXsihXdJGr->`~9ZutyDjVubUtq;-ttuMde%QkAfqj1(*r zQFTz2{MTn?)C>uS((Q3&wpbEwzu%x^F;&-E_Q5tgq>d*Afp1LA+bcKaHG zoSY4gCz4I^!K_(Kj67wuIv0|Tj;80Cu>2(MoCcS4JZiB(nn?=Sbc$4T9MvCkd# z4-S4weF)74p5mUf3pshpYD0kdzd!C@P8ahWs