From 1c74a4ef1b175266becd65969077f12af764e7e2 Mon Sep 17 00:00:00 2001 From: vsv Date: Fri, 10 Aug 2018 15:49:11 +0300 Subject: [PATCH] Add pictures for sketch docs --- src/SketchPlugin/doc/angleFeature.rst | 7 +++++++ src/SketchPlugin/doc/coincedenceFeature.rst | 7 +++++++ src/SketchPlugin/doc/collinearFeature.rst | 7 +++++++ src/SketchPlugin/doc/distanceFeature.rst | 7 +++++++ src/SketchPlugin/doc/equalFeature.rst | 7 +++++++ src/SketchPlugin/doc/horizontalDistFeature.rst | 7 +++++++ src/SketchPlugin/doc/horizontalFeature.rst | 7 +++++++ src/SketchPlugin/doc/images/Angle.png | Bin 0 -> 20251 bytes src/SketchPlugin/doc/images/AngularCopy.png | Bin 0 -> 25373 bytes src/SketchPlugin/doc/images/Coincident.png | Bin 0 -> 15313 bytes src/SketchPlugin/doc/images/Colinear.png | Bin 0 -> 14443 bytes src/SketchPlugin/doc/images/Distance.png | Bin 0 -> 21212 bytes src/SketchPlugin/doc/images/Equal.png | Bin 0 -> 15209 bytes src/SketchPlugin/doc/images/Fixed.png | Bin 0 -> 13468 bytes src/SketchPlugin/doc/images/Horizontal.png | Bin 0 -> 13050 bytes src/SketchPlugin/doc/images/HorizontalDist.png | Bin 0 -> 20806 bytes src/SketchPlugin/doc/images/Length.png | Bin 0 -> 18859 bytes src/SketchPlugin/doc/images/LinearCopy.png | Bin 0 -> 24056 bytes src/SketchPlugin/doc/images/MiddlePoint.png | Bin 0 -> 14815 bytes src/SketchPlugin/doc/images/Mirror.png | Bin 0 -> 21151 bytes src/SketchPlugin/doc/images/Parallel.png | Bin 0 -> 14720 bytes src/SketchPlugin/doc/images/Perpendicular.png | Bin 0 -> 15839 bytes src/SketchPlugin/doc/images/Radius.png | Bin 0 -> 18666 bytes src/SketchPlugin/doc/images/Tangent.png | Bin 0 -> 16232 bytes src/SketchPlugin/doc/images/Vertical.png | Bin 0 -> 14607 bytes src/SketchPlugin/doc/images/VerticalDist.png | Bin 0 -> 17901 bytes src/SketchPlugin/doc/lengthFeature.rst | 7 +++++++ src/SketchPlugin/doc/middleFeature.rst | 7 +++++++ src/SketchPlugin/doc/mirrorFeature.rst | 7 +++++++ src/SketchPlugin/doc/parallelFeature.rst | 7 +++++++ src/SketchPlugin/doc/perpendicularFeature.rst | 7 +++++++ src/SketchPlugin/doc/radiusFeature.rst | 7 +++++++ src/SketchPlugin/doc/rigidFeature.rst | 9 ++++++++- src/SketchPlugin/doc/rotationFeature.rst | 11 +++++++++-- src/SketchPlugin/doc/tangentFeature.rst | 7 +++++++ src/SketchPlugin/doc/translationFeature.rst | 9 ++++++++- src/SketchPlugin/doc/verticalDistFeature.rst | 7 +++++++ src/SketchPlugin/doc/verticalFeature.rst | 7 +++++++ 38 files changed, 137 insertions(+), 4 deletions(-) create mode 100644 src/SketchPlugin/doc/images/Angle.png create mode 100644 src/SketchPlugin/doc/images/AngularCopy.png create mode 100644 src/SketchPlugin/doc/images/Coincident.png create mode 100644 src/SketchPlugin/doc/images/Colinear.png create mode 100644 src/SketchPlugin/doc/images/Distance.png create mode 100644 src/SketchPlugin/doc/images/Equal.png create mode 100644 src/SketchPlugin/doc/images/Fixed.png create mode 100644 src/SketchPlugin/doc/images/Horizontal.png create mode 100644 src/SketchPlugin/doc/images/HorizontalDist.png create mode 100644 src/SketchPlugin/doc/images/Length.png create mode 100644 src/SketchPlugin/doc/images/LinearCopy.png create mode 100644 src/SketchPlugin/doc/images/MiddlePoint.png create mode 100644 src/SketchPlugin/doc/images/Mirror.png create mode 100644 src/SketchPlugin/doc/images/Parallel.png create mode 100644 src/SketchPlugin/doc/images/Perpendicular.png create mode 100644 src/SketchPlugin/doc/images/Radius.png create mode 100644 src/SketchPlugin/doc/images/Tangent.png create mode 100644 src/SketchPlugin/doc/images/Vertical.png create mode 100644 src/SketchPlugin/doc/images/VerticalDist.png diff --git a/src/SketchPlugin/doc/angleFeature.rst b/src/SketchPlugin/doc/angleFeature.rst index b952464e6..004f80781 100644 --- a/src/SketchPlugin/doc/angleFeature.rst +++ b/src/SketchPlugin/doc/angleFeature.rst @@ -1,3 +1,10 @@ Angle constraint ================ + + +.. image:: images/Angle.png + :align: center + +.. centered:: + Create an angle constraint diff --git a/src/SketchPlugin/doc/coincedenceFeature.rst b/src/SketchPlugin/doc/coincedenceFeature.rst index 9ac094f2f..e29792a44 100644 --- a/src/SketchPlugin/doc/coincedenceFeature.rst +++ b/src/SketchPlugin/doc/coincedenceFeature.rst @@ -1,3 +1,10 @@ Coincidence constraint ====================== + + +.. image:: images/Coincident.png + :align: center + +.. centered:: + Create a coincedence constraint diff --git a/src/SketchPlugin/doc/collinearFeature.rst b/src/SketchPlugin/doc/collinearFeature.rst index dafedfd40..1a43b42a7 100644 --- a/src/SketchPlugin/doc/collinearFeature.rst +++ b/src/SketchPlugin/doc/collinearFeature.rst @@ -1,3 +1,10 @@ Collinear constraint ==================== + + +.. image:: images/Colinear.png + :align: center + +.. centered:: + Create a collinear constraint diff --git a/src/SketchPlugin/doc/distanceFeature.rst b/src/SketchPlugin/doc/distanceFeature.rst index d2414d81c..dd52e8f63 100644 --- a/src/SketchPlugin/doc/distanceFeature.rst +++ b/src/SketchPlugin/doc/distanceFeature.rst @@ -1,3 +1,10 @@ Distance constraint =================== + + +.. image:: images/Distance.png + :align: center + +.. centered:: + Create a distance constraint diff --git a/src/SketchPlugin/doc/equalFeature.rst b/src/SketchPlugin/doc/equalFeature.rst index 5c265e33b..3f76374fb 100644 --- a/src/SketchPlugin/doc/equalFeature.rst +++ b/src/SketchPlugin/doc/equalFeature.rst @@ -1,3 +1,10 @@ Equal constraint ================ + + +.. image:: images/Equal.png + :align: center + +.. centered:: + Create an equal constraint diff --git a/src/SketchPlugin/doc/horizontalDistFeature.rst b/src/SketchPlugin/doc/horizontalDistFeature.rst index f8008e25c..3150c0f6b 100644 --- a/src/SketchPlugin/doc/horizontalDistFeature.rst +++ b/src/SketchPlugin/doc/horizontalDistFeature.rst @@ -1,3 +1,10 @@ Horizontal distance constraint ============================== + + +.. image:: images/HorizontalDist.png + :align: center + +.. centered:: + Create horizontal distance constraint diff --git a/src/SketchPlugin/doc/horizontalFeature.rst b/src/SketchPlugin/doc/horizontalFeature.rst index af71b88d7..8b29c33b9 100644 --- a/src/SketchPlugin/doc/horizontalFeature.rst +++ b/src/SketchPlugin/doc/horizontalFeature.rst @@ -1,3 +1,10 @@ Horizontal constraint ===================== + + +.. image:: images/Horizontal.png + :align: center + +.. centered:: + Create a horizontal constaint diff --git a/src/SketchPlugin/doc/images/Angle.png b/src/SketchPlugin/doc/images/Angle.png new file mode 100644 index 0000000000000000000000000000000000000000..f781cb4763d0df7a26932d093ee61bd00762718a GIT binary patch literal 20251 zcmZ^L2Rzh&{J%(L*I6O^&dkWn4u`ulPgZ8KcXoD>ab&OToFgN96ABSRXJ&`&mF)fh zsPFpy{{R2u(YQPB&-?v)zh1BBYaf(`ni2^iEg=pL4hb9v)x^QUwa3A^;(P-a_(WFM ztPuF`imRrQ98O8!ofY8CRV!IlSsa}5Xrfb7eBeF7Q`jR{930ZN%fDATo$}3r4{6*K z^xPggS-N?ex>(>mHMMbc<8`!fV-n)!=M}iO&192^gQFG!hstU_Gv3G`NFUN_sO?$H zNRc>bj1uh2h&X9|MamjM6yC^`|2&X`Df0O@`^e|GymxU4ZxH6+t=RfJ{q;tL@Ck3) zgGx>&5|}8{$%tMUUh0-=#!y#5e4$pMcp{?k;$mipJMJJo9TV=BDLq`^IP>8JUO)4V zK&BfYA135gY!1_nz;=)}I^rhghSH7|(|9s|AX8YiHE1e=NkPCAQdkTufD(bjDOMh! zZv;}X#c*h1%vi8I^@KPdGVVxCDIk4cYI!oiC^!dRK(G8HEUJ0;gy?#d<@ssPlnT1D zuWE@t4i_B$?5?zYRY=_v7HqE%CC+mnCKQ5FH0Cyncg1(cFC*ES`^FP1Ay+~yE%Ch? zTJ9JQ9OI9TAPD5x_a6KfNC8BZLtUNwlmPZ{jfr=s4vOC5QKJ}$!uiQzt`VZ8E=~n5 zq`k+(!^SO#hT*o}J%r!juF#49+1K$J<~C^j=^lt3X`_VmjDiHz9Xi5m7lQ(i@Fi;F7Om7#@6<;t@?sw*qa+QnIY_XWH+;sIZcBpoS{tzB3xVyD?g7(iugcCgQ+VO_j+!SUc^rbs>Fl>ab@_ zCMGBD?_3>o+9PKjZML+Pb3tKaz-=x6y2IS}Au7CCi#%q5S`l?k|Ct*~fap5807YOO zOK|dXyxd{ow~vH6;MB}aUTyRfUgW;{qxQUmlYZaRlyT2#qkSxbuX;-=h3l?8dHGwh zFK;?h@|}GZRX_{IR$;2K9el(;dK4pRIqgQ$?+E;GnQCy8GD{w6eWs*W#C`dWs&9;P}h+q4=t$g0Ss=iLffOi~Q9Nl!`nh%i-8VPfhTw2c-Q>-wK&hq46 zUDTxm&h{?+)}ixhj>iGL(;GdjFM66%4-?pAap|PI-9kxwR369KjoI*@y@jPI1xH>~ zy2KMK&MP&Qb9BV0-aCN4Cl^F*BGGcqzD>h)?Yj@Gg;`ly1qB5kYf261D87SLnYM)h zoE)#PDAW>_P0TknAqGX;wtt=b@TE7=PQdLQqe3Jt{vGCLLuDvR zE#Yfa)-NX<8#kN+P9{S*~McU+uJa@1)o8xnJ%=DWYi0l`er>*ZxC7+818gIJ4E3jBD-&CLf#xAG z(l5~_tM(ZH+MCSjLgpucsvp$pcCCvVp4Q9Y`s%O~8NJ`CHb@>o3_5-O; zCHjiM@;;kb&EuCeS_%0=VFYuBXkmrdvIOzl8<{AUu(z7eQ2Wa#UTdD?*g&|q@9sUR z0ndej#q@j-#BM;_X=ky^ZZzt(=$6^9{2}JMY#<27ob6Kcqr^-ff7kO{EY@#Mg->>L zHYD__M|vC@BSjoRl-P*Hc~So&b!Ld|!r{DG-=gw7)t$pO#`Cg^%Kp5O5~+o0pOv`O zk=-V;YhTdf)rd2m*5S_`Z?V?j$Tr`{GcX1;B;EJ-1wl$$&X3hHd;^YpOt`e2zUCuO zBI)=Re{j^!_@6kn#424pNGd+EHCR`@MC8gee%xc(p?VDFS z7k50;6@`#|1Swwno=vwy8t*^CC|R}t;C$8Zdh+IYT9Z4w>LSClci}@bglOulcZQwj zrxO>*aPdy5B365u^L2+75xbFBcSL*!72;@&6hD=vungM`<;(gle=cYd3KYXAj{nF_ zHbz%InTwOZ1Z|}OZM|<|L;t8(MpD7Aal2BaT{5rH6Z&-5jq1_!74?ACL964DVnUkT zG^Ye%yM%z#dB*U$oKC&Hu4i?vL?*rdQN-f~J9rnTOIh%IbB%I5DWcSn4k5mToA^`& zs}FCZey!MJQmlzV7Rkg{W#^JOcz*Ju&NXsEeti!@LruDtLWaE7UFm{L0kBz=Ji!{LA15>}RL<+lo1gk>3^pzd6Z>8*u2(GIsKa=d z`RN>FGq*23iDB`~>{G`)dshMD{YTXZ%D(lnZVcRwWMg-1S0^Jh-^T_U$-wA;ILnBWqIL>NAk)5C|KpPtSAn!pODvFj#rfzB{GacH^ajvQlrR=xNVb zmfyYERJ&68+V9UVp#@dy9WxW~4=ya#SR#nn@w(6}-#%1MDNwAH0*_c z*r+qt__eImM!iQ<8OWfV%-htWmeG{Rt^RB|+lx$+zeI&*Rh}U+gpjD0)5fL#B2o8n z(PYw<%s~y5d+Ww=2#WE;L9qd!--fWQ#UBk44mjSKtf%hEAfn1A|4JkH`E&QrS?W!| zju#vF2@#a&m3u{cJ0T2G)7nWH}6O}@u^;4Dc3810>n4VI`5yIfFR$_s@DCDZ1T%g4E$CL zi%MvJ_6K;7*q`e^b&VTrhlE>T;UNBz^4P7LsG)jnWYu-&>QhX%f=X0vy?e zYWg!?Zsinl?UegS8mVK=7XQ!&k0~g~sIo&TnoMffrB}BtD~WB=%X7?0WYjBn+GxW^ zeNjOiMyM(ZPXZ&+pL~KoXF%s`npS?i#h)I#1EY|YOnD7P7z6m1s^4*8614Oa<}=w_ z_Q?>3gK}eJi8!%{M6Ou5(Nabp`0mkC)>02>1TtRI$szDnnUXt+>rurQyalJaqol^I zrmYYI$80-`3CEn=zL8lFWoOG~Khm!1{^?!884 zcScFR$5*8;ZHgF&k9;CSwJrZb(NHdFRpNa$u!_iAqe02xA2^t7w95sny5~wogZJL5 zd`SA{#KGfeh6$J$4GlT3$v)P;&UV<-spYIWDoZ7?CY-RTuwN=*0*HsYrH&-|D(1mK z`*)gS7}i8Kc57wMV;Q=nv^YV6NDqA3n1p_66W{EN-E7lhd#^LcPj@s~;R(AbCvCEU z%2n`qw%yxs^Z*kvH>l}g+Q;U&!vBop*!#Ty;r*!sP%qt#GthKcvUrx4Sq&I zt22(&`~2V_iSyx7iWP&;PubLdq{UFW6l0{GBwz`i)ZS;}8_2nTJ~(X5Y$2_={mF;1 zaQ8cTzxKP4H;CT6z0{T&_wV+r=}l*%&aM&P{7;3*bHyO4BrCc8rz`r=I^HPKU*{)V z0Y?kTg98IxI`0mbWG+%HbA?26#n;+4-{ux$vRmZ@k*N=*Pu457?X1Gm234wnNZg(c z(Q}G}NZ^o_8dK4t<>FdDpOE(3_tcB#5c%Hspz4dm&Wp^( z1!@~^?)Q2vsux$HlX*^RU!7HD8y(^Yuez`%7W_)S&>b6z8IhASrsyo)HOyFAt9n-Ne0`w5s8|{!)?LKY*n+j- zAaH7(^Bt}WxrGMp9rAHW7l0@mM&il5iF?^1bA|n)N$Y0mq`0*5->9S|jTR19v1ehF zxf=V!R)-LN`RsddfAiN>738}C0Ka88)Jg4OKaeDHbL#>!59yc{UDI4Miqti#0kWXN;O~F3*xqA5 ztO3Jn9=FdO0@Vcyvm9tw#vNWHgQG^EC681nU&1w0Xq+|R%a>z`#hs?#_p$24axxa2 zB95q2wS0=ribs9;t&b9QjgNK*M+YTHbEM}Mk&t8KEP{gs-VCN7&<_P^|J)_xJl*e! zuXq`WUkjTb>!db+^$lj|wAgxEKl;8nc|ImTao(!M8qSp(-?X8-h)jJnfc2mg3gyr( zNf&T2cidYI!flyInzCw7%_rN#oUf|%@TbO#rSVymh-1+TM5&Gyy*9EZXW_-39^s7I=Y0o^~6U_44Pcd*rb>;lvfu|_G z{_%SD+fwJ<=JTr)BkgZqe%fY6VmLaeP#_E(#I97q7TSEHi*Z-g8?%+b3g1PsXV$Hi zG`hG5$fTF0ux0${u^QBmxVFFmsnjI*(wdxvqA^J;Me1=cY-P^ejzSsGN9jW!VecM_ zJD-MYYX=Qn4Nl;h|E32w3qVf3(^oXZy)O zy!Kwv8T-?znjbR1?yP-~VF$ths#QgXjc?_w4#Ge#ltH=6XjGsHS@GQYmF7NCWg{;& zwgf2NyTOXVH*=ne&HLjmXM3fh8`B%yMh$K)D7#$gask&i{8Wpme2v-IwbGXDk$@pJ zr_tk}TRhWryN80+T{$Xf8i=y=BM6VIAT~cF;;MtSqeko8VG`JTaea)>vfpX4ssCZ` zOv%vPl%M#{dj?asjz$QwvMKbeoGFMdhP75P}L_6jyStCciO z!B#j_OKHdK7u7|i!n)^#$W8xRp5cPTmg!sM%?81D zLhg=#3z$yz$f;zo8OYO&@N^0IJSff#%iigF&$}@qvJy9l9;2?V(yY2`P+n-}t$4Cn ze6TL@Ch_Hu_0tlEvkoH@$DhOR#Pm6DHv;b4ezHc?FBd+7c{Cb9GA{7Vk8086M=Tf- zh#^{j_lS{{etfZwjIQbA2)FQdKrgQLaj&%X>8IUAP_7k%fd#L(=csmwHc1bHsQe-- zLH5r0txe={v58NmRjsV+3Za^-Mgd(AjSy+HqSUz_*~AU8ICHqGwadiG#o=`+M9YNE zs?)7F4&|l33?MvLZQEQOwv+2kmB$mD0*X2B)iT7jtO7K(#z(Z>=&qg_vtqjaT>)^WkvhsEi|&LXACs3z?dsUoz#O+iJ=So;MGVU{i4*xp_Rd{ zVLQyE-@&Ii$V}mk-mm4P4GD>%l7OSlxS?zxeR&&cTR3`#6PaDgdrX_NrCqNyR$C&p zg@JR)r#+{wp+td^zgT+tdRo4|%-{1Si_1@(+3!R`Y`;+l4YkImg4;6z9V}-1GQGRA z^sw3HxX(&;`uxWrpN_hLpQdnrb{by0+c!n)iu($6Cdn6$289|K`nl)ja;&e&PARGH z+2<+mwMK6dZyjWLU9k3leIMTr-@$e|)y*F0ny6mvX^KXCO(yo6{mF-h=^f1xMnujl z#V)@TBg%A~^7?qd-tg*Twb{E@nqJ1@d%`;x5(Br}fuG>ae-Zn6r)!(vla-V|M6ER>|_TJmPv-3N8D;`F7U z9zUg7Jz5-tK5W%k9L#AN`Q;3k!BxKA0MARC=?DS!jNx$_b)6FV=qeS;LTZ0s_LTC? z$>*GO1_Atm=QB%=M+&w);AZQYpO9b3G|5711BKQYkAmHSWI{Ysmqz1lLFBSg-d z6werck=c;k0Ezi6y8Anf@06+)io3R%7gMN=@=bV5jU^EuKXP1p<*ehx31ao$O$I82 zxHJiimm>Ts9()ai|G^a#2%TXRU!3hIwz8MHZ{L;IXe*N5fpe1**wwI&Du~K)Sz5SJr;)4*6sXrXzNI6SVjSeP zn=`c~M5ntm?5zFGwqre&+vD!g@u%DSHdOxX$hZ1?H)?F>kT43I{#h@S^!Re5gz>Xr zYthHAb>w37;;Q{m>Bihs?l;;y4DXzHRDE4LA$4FE9rA8}t!l0@Yiu~MBq}x$md{Yl zf)$?O!ofLs@LR90q5AY2Tsr0!+5?5x0CL)2pA$*1m(|ehaO6zaS&`BAjHa4(6%4+e z7*S0o?oI_qH&0<8MLT+N%WC*I-x;(}wBc~}YbZcnnz$Th0*-qRHcPXz6K{g|tNQIn z+?D7ozwVoe($r(JMsAw@in_D%aNZ(E_7ODNqcGW@Z69!aeg!xY-LD7x0 zI)BfUDmHxr*(d!el~$>*d3a~j0Dbp)md`9i(2fj_XI}RZ}>#A=>%ZH=Wky?<#ACgr0hB<-d^P2oWKFvi;2L_ z&uC#n;24g%r%Xs3NfIzE2u9(2|FR=?4MYNmq83S??P9Ogk0V}jeyM>KUhdKL&mIq; zs3h)DZY2XrPu{n>pKa~C5M;H*yhwp8^$6Ps+$^t#I`xvdbgo5bt`pN;lTBCq0-8eq z!yCPL>-Wq@g^oh;)*gxvGR9pLJ)S`Hm%l!fonU1kx+HwN0y?H5^z$3HQQ{4V6!$j_ zC5xVD>s_4~j+BQtMj5L4AKA>I%dXiNZFI)n)1UHq@YIN2H;%)AL^8z9OjTcYlF1Nr z?^g6xK-`0vm(Y5N$^pCqOhAp3~_171Zm`PWCc-h_B_XXtFX zwpneN4=N#_{-!P)aO3GqqVeEbg>^4pTcP!1b#bo|vvFwM!-5Ng>l2_<{OP>f4vFj< zOSJL6Y$X*7W%R8cgB?}`n^N}wo}UkGBM<;nK?>RU>4`bG(l8xRsu8{S9M$1P_G0#- z`BPuWA6m5wqaLBt{ta1skhj>lWG#VG_6icx@!vuuygmbk!ziBNGR_r0K*Mgq-+?k3Wv_hj>P|Wv zUCr=+jCnWLp?eLa5RQ0~wCs(KvxI~+w2=YbjXxK>MC1qZ2k6-6e;^AmCld!0c@<0> z7Y6F3|GAul=Te<``{8ScSS@v6?@D7}Zy37Br zVB^Vm^vYlj1lh4^@-4hl9LBH7Xl7KXM>7eos`tRWkXMkTyrdW=1Ts#t_U%Hb_Y?Bc z2+<~3dxUJ}tiO6?ubdeH;Fu31oO_j2!yr(xDd{z&K@B)q3*~r#2b9xEK4Hjv{@^q% z+$M17Z5rDMiC{b>n3jT^=n|$#q{0NgUChX^|6Q7#VTbEiM~9susEXkaW-!qa3}rhB zpt;oB515eO37D|3-$<>lhn$h8JA~Y_;Gazm1d)ixq$T*MaT6phEDliS9X!k_!Pm8s z5Q*ZDsIgtA^5A1DAGq%P2+;j?cS4*#Jd`4fg5^I*9g2DB#h2U)`Cn)yjW_?FX!RA^ zW|<}g6G~nI)1oL6t#8YO6F6yv-$e?)Sb6-xb_^`cCV+e<`CrW926+p|aQwU)V-40s zq6=Bu)MbV>vOddj41*E-bCt~+S@;&YYRCl?^B$I-yXso)aPlX@PkKX1Z5y9%uM!7& zi`-LoE5?1k0|@lR=EQ#mJSt3_HzA*n7(ro!3cr@CJdrt%Kf}QN&AICF1U9f(1~-Od z`D)JKUk8~n2m>}Ujug;4G&j=mDK@TTo%fSk5m&A-(Cg|)R5q7!~b(>=&i(jq3gL_SB$<_?4Joamj--cxcEGEKHG@9 z-hAJF^i%eg5S*LT>!;b|s5gS)|87;UaGmeRX}-6Xgm9Zeg7pa3AGuAvKS&m27f&(BDm#YO#QKAHoOK%Z?GjoTKFs85=jpIDMRtq13T!R1)kNMQgq)WE! z=zZ)yC7K7qx-hA1@>lE6M&#j&E+A=$Jzdq<*P1=9Xa+=60CJi-xQSJR3+Hw@68(R7Jp8B0TNb_ z2G!6@RWKBq4Cj7td9U>N7F=WbDyzh~HFE>h(*zUG|I{&rj4?&PA@?^jshzeZf_^9$ zBz}Z&4QGV@4!WVJ)$lI}DwR7VY*999dC2hz-EA` zK|L%R;{e%gt&=DQZGH>97nQTo?Q7n?_!Xt-A^C(EFts<;5JQA9`C_pFY^jNx%Ke~o z%2vcJNTHLnrSjHw#v^kF4YV?8I0(|aOhBl_rm^wvDs1av+dTd*^z;7*rF!vuhTgt>hJq6ihwaQ9SNt zV0(oe6{pa%*G(v{rET^#2`xQl_U4Wz8Aa=klii8GE~_W4q0mhkMZp44Kv9bBS7g91Yqy9si0R4hp2t6 zbTn9bLcTzQd9U;rrj^Hmkt>^qMlj4BHn4vXS?N!FDx1VmW#x^>Uv&?QcT4K=1On5n zuTw65!&rPohs^H9#A`Dh&T()42B=xRgl?x!&>2 z>=Un~C(OpxHKp!a^qfanrZ{vmEG64`$g$|Onh16BC+7bgR7E@}u1 z;n#rn#VpaeirWb`aKVdOG5E9sUt8qG)yOuY)84N)WfhXhtFO|&yfXAt|Fe#{Op`E1 zj$rdubh{7DL7ym*qxl^gxZX09Rl+vw2|^77{?e{q80N-?=V=HY=Bz?j$I z)O+hgc0p=Yv|g#BS?By&O)JM*p4Ew?ZE~PuT3?x@k7_WJ&Z!Sq_qkA|X@akntIB2t zk>_?79do1S%p$*8;DJ|S1DM11Uv?LHyt+7H1eOT>WufxtRE2xuUsi3-qObyXkkx&O zj#X1ExS^|mS$q#H!Q4f@etMVCRDI0b4Ry^#v=au5Px_V7GEcqSZ<*ocx_i$<&IL6f*Dc?lyq-U0?37B8%n_`rn4)x$bw6vz?26H6 zYdQY^c;3@C52HJ@k70(y=cE{cfdEgfZ}m`i$_#zCK&*|(tj|T&WY%BN#>NmawMXoe zo`*@?IPL9rK||3l{^{BVD- zM2{4{5x_GaFR_cN68CO6WUrzlO#(eel*(FkL6+40w4$|LHe z1tYywPt&fCL=kvjB`KfFwe~^83zmn_%3AWW))ocLWT-DTMQ=Kgz?o z$xR|HW4tz)W5i~ZKYen8Pnx>Uu2#R4wOBE81>k?F%$ce5M_qznoAUj+e5W0Jt!l7e zS0!ZXZ8UFtH=B3H%i(7EXyg=MXH^#3&2`0L2P0;8ux(eOH>HgMGzQWu5!KN1yiul; zeRvhe{$+;lC7Tr4=`vjYgaFy_OG+Y=MR4xq*pCIkFF_i|{_Uv1*3$NhL7$O7)8mY` z*6YK3dyF?(FiOcL1NwT+QeV;pnza+=*%@;euCO0<{`&TMwr0+U^Yd55oBKVXBvLiE zJF#55zFkq{Rzr7BBiU*Q6uejNd|*at9{MKmntQz+B5S&Hzo7Y}aH;U+C(gz)24UH+-jSx$y_SUk-JW z(zQ{AgQ~@J1S-{>+OJ971I2$(I&eEvcTCwNKJBDxzaLd|z|T6k1Cg+0+!cug%q$?7 zB_KZEBm*|J0OFJflUmD3?Aj_o>_3|^@%^frdo-0aR;cxDcB*WFbwYkNXfoX6BR*%i zPKMGotE^*Fjlj-4=2xtof?s~$g{sXs{X@i66bnqVt@&{5*Ncw z;@5#p14?$nV%am0OY;1F*zg-DF-W+1k2Vke@ohG9Op^++tqnKHYKoLLh56^$8}l#2 zMOr0aXY!39PdM^}Td>fHYsO%p&=yB~3B33uE*y$$0{L3yxNsq#9FvzBF+T`A{8!~P z*`Hov-lp??7R<+w(N@#Ye?fZfBxDAW@wo!;nVXmFQ*zZ0fDrXZ+=_UwI^7*uo%N6VLMqXSccs>4m{U?a@8U+oY=7|ClWK|$t?&u=s#IxzH( z=<)wH9e&}TgyNvN$$eKYODb)=n)a8$`c^9>)jE-C`VCYIMHT!N&=!4SA7@-X5TGb< zk_+5CShC1>chCmXfEe)rO|hR)d>uGe7kY{dT$c&|rj%_dVncw#lm+=1*C!G4*X}^6 zwH1Vq0i%_2^A4GU5Q(io8!0Ri#+QM2SwICUq7jrag<%ZZ+P2F#$uA2IbwJ(ZDH$FO2WU=i-W zxhpm2oBWSwA7k{z3S~(Y8Z{sd(^=5N!Q@r=pHT0wid3M%%b3*LG1i)Y<;BOJSnw$x z)xmB*A*azYtfr4!p4>YJw`NrXU#<90PK$f1ggj6i!hw5ITAkj~|e#IYsh2 zvySouX*(omGQ@8)A=@o(LBt)iadAQ?f|;wwZ@}I9!~-h6t7{ZCUin>9s(~kT@p4Pu zrzUxKfH)|}Sm8_91b;|RB+@KZZl$2J9IO!OtN-Ai|Hjr}?eVu@A&S7uGTWEgC{tK* z4GvI=sfN(*GS^x^uuT0{#d5})AH_AUs72O&@BS->@>Yooe0S=PA`a_e@*DEuDpeQ1 z>VRZ2|7kBjW~BA9j*|;1q>B)u(gV?VB(Z8QBhw@*uJU|lainy^>_<Ci=jpDh!SE$>rq$A2!CHzLcH#=KZ7L`gIO!49y2ezDu z0_V$YH!~)$jv3Wwyrxcdx&m_-{oQ-{K!u9yeFK`0 z=JrLIjz%in3u(VS^YR{(wQ6Lb`mL9r6j4!+-rzi@cVr3~vZV(qcVO)M`Qd{zy=Yyv zj2085Z*u9WXzBgv91tZN+PQg&mc;}{aSy1`b_M%{#iZWFMJWb?k2gMw_`1(;Hix@V z!rcZBn!K=HbFtRUr4Mh!)qUf{+T%aJ|DEdztQh$M%F2AEvOAMp${du*$-zUF0f1VQ zD~97Kfgy~zVE8IP0UAoi;1f%X5JVcosbV`Ee3*D4>f*;;qZ)S%#)nbB3jmsr2ysMOc|KiY5!$jZK_fX{M4+4v?*|qHa%!vbl zD`fpq{emUv{CI6ESNfv)l)Yf?c1g@~a-ZTv(G*tElWW{~BdT=&20M1&)&$Tz|GJXf zn%rP6*-i84`Xh&LXHXfF24_!WSC)gHMeO~FB<~x266;X$bqZ)NHHh;uJkm<`$%OBr zmDO{oEHXqn;^SIO{?)9Ia1M)$1&|~wHhH2cYXmbKl3qOS{r&~C7Y`+e{&26Z_V&Bi z6sTWjF{_Rb2H53SUpPehAojg+mR+B0Pw}Dm|4(X_#rb<$~kwJUL zH3;xfA6{rYl+N=^&wvkV$cuII+~PDY-fR+HP3fdY*8A|>w=1U4P0IFGIisQ++Ls!Ks&pbaE4q5H~d(0cQfKOZgQM2VH`}@ zUGUf%bc3)# zxn&bI0TI`|QW9vg45adjz&LRIxO!NBvNkBxOadV#$%LKG=^V_h_f8`{ZRG(KDaCUm zk3-(LZ=G8V(4y2|G|Rl%B9^8Viz?RlQiLZg@4EXG)@*c>Wn$m;hv~h|z6vH(`JU_^ z0Za3t=0(bRN4T5-U)tH^!DHrhKaWN8;|H;-tsaJ#y!P?pj`U z0tS|_{@Ib1kVqB2Qm$EafGJjaS`Q8F*M7e9lgN7#hUZO+L=&$NAc}+8omr{zC7F<^ z`PktUa22x^cZ<1TvwnpkzzKNl@^{X`qkDt3xC7CWD+NtwD-T8xJ^I5!q{<1FS!ANN zZBa3KX}&4)g2)Y*=GuEk7b5CNpD59|jV#zx8ng1S$BMTmP)O z!CpJ{)c{wKLG%u2;aV=IJH{vH8+iD5&{-30uCXu8BUMprI~J)xoa)^-lf>95l<*vS zCCH>*0V9o!6|sW(Ny_S>1Ta?Y)g6%=cvPH|yhux?0WyPyc&wFiKtvPuYF`#=09n4m zCThL(qU}*UP{-LT_dh8&yF6};{bC3hW-ibybR3ke9Q~=yGO%RKGV6irLq0&yCObt9 zF_U7h5EKOU01-vEQ{dqcChxG!vkDzD0qM@mM8D8K*u2p5?g7-^)IZ!^VD%Mr?8~ox zTN_cG5(Of+FZ%LzWqpf&nEPzV{k~#rjld*$`g=KYjaB)03DC9 zJ`r5*x;h6KOH*{Z23z+d-@`u!b3TV z2-3Yp`Q+u97dvVvGkLI}`e1omzsm*KuW`2KQC19NETSwrvO|;v0+^fZO3KH4r{1bC zRnnMtqt2^vA-hBwox@5qV1}x_oZu#K8&;HSfS;cH6P5*Cffkt*fsQdTnN=Y z-UyUYRmA8NkiMJuK#gZYm4{O9Ga

mr_4SpS4=11MQ&*+*1Lb;rJ*!vMFuUbaIfa57Y$$SE$*uu(7(nLsuOa`=$S^aXSt2RNsI9T~V1 z!!TC-KL8?WFpI!3q(a3j{O6~6Fb3IQjCM-pVgQdVnhtSp6(8#|DDsKZketj{ zt(0axT#`Ooj~9NF5+v}S_0ORMi%4(t4{x0DP~%AyNgQUA13YL11eR4k-ARPX<8mC- z)G~Dc09d2Po8~WM=dWGzm=Afa7jeDUxD7Bt^@4d5o??J)`|yY`jBFEJu&EEM2Lq*O z|L>FlV>O{*TUN*9WflDP&`q#>yoB@cl_HSQ=5^zbJRo<0%iu|*;UN$^dBW_~&>O?e z573TG*=mUPG6JdZdM}_&!Q4PT>46V&$0KmpWC>ASzS2nuUgcpzf^(nbKxMKDo*#Z! zi(9RU2{R@4{!lIl%4nD*dk=i&9Rn~16K~B7vHk&NtZ`>TI$gPp^dun1xwvx=YGboG z9S>hCVlti0PM$mGAlyFQ*X3<>WFF{!oaE@+P`W z{9nS{LU8BgJ>#;wGU`^JGykS944CUVv*KWqu8YSs*a5tXhqCkS;FbQFHyENaTmWYCF2r@xBR?j;_A*xm<`(<`(a<@v6>Q1@9~VNCk6-Bi$zpA(9R=eF0A+&> z8T=Vfs=ig*#d()k684`@sE@nCh{!;oJs>&{T)qVv7gfFz{E{0{*m%{GfdTF|pegf{ z@UIySH+%}vlx7H<==Z+1hmeN$-;%iO+)g;Z%?IXmZvPhDrsW%Msxv3dI*Z(^51(f_{5oVz<=-z*Lmx^l-)lJUsf*ZQrX3GZ|tzS33 za+%$up)whHICQi7ajT^Bac%rBz~ZLmzeRgFJ%wUJkVJcuh z{@m~dl>Yh2mwUNlEuF16f2{)A&ESVuQ1TRML*}e~>z|Ba=y~d+zX@Iiqi5}t4KH2# zUtA{xuky#O-YAg21H%JpUQhn_G`Oq_gZwHvrHyOIP$w|oF@4#k2B+nzw*Wr;sJaoYO?_rjjnkhiwe5vA>D%AA$ zci(?ELg6egFW{ z3Puz$?+mYgZ5x$1k>@|G%u19(DWw7>-?19SGeRH#idgNPeRPCxmec3@_JupHb zt2DmUH-dO;J%xgJc?obKRnXddxc*I1v zPb?uiEIR5Pj;D!ofN>^g_8k;OT6&ws*04L%z%K_hfG}#hRT>Z}5ioXD`bU01a?63u zj0ftvm*j54s$xbtufh$1%=14cP8u--7%lsR}={IBdqOLP>Xl&D?ObZ`y7?d=;0f$Ow<*(eB?QuO?_nFpE>Rg%qQUDye9?Q z8q`5o_;1wM^Ih=zkIVdPl`GSrlurpT|5G=W^6DZ3ljxh;e^v5Ct~` zT%h3byxIl7CLC(%r{6!~4*9bu!)w&}P*s7;*g-3p&|EG;Bk80{l;}0#vj0{)<$_NG#11MOTs%%mLHGzac zD2AnluqZB|FzjJXjMxK2VAw*#qM!kaNSgrGU=l#96j{nZEQ_)j6+@y3DNDdeNP1s% z&YbqlfA73=?|b)t@AuvJ`@ZjY@7LdOS>DtRIk(2z-(`g$K~}Bs!dM#-AvX008lHiXNvn* zreW zxF&$s^LTJ(!QhQ+&V$Qup5Exq`(-k!r9SPjwc^35}!th2{=``D+ICSOJmJXG0QAG)xFO~>ypH9ev3+Y9Cl<@=RN$9>iY zzTzL)_kC3a0(m%5c-_`$JTJo4VEz2hmCdj0qy7TcILIm)b^ddG>~dRG?5+bwoN|6- zMF;7opMseoOL1fUr%Q~W#4=!`fm9>`&SvgvaiVhr1+^XS1k6Me1xV{5!plwI_YwKx^9oW{y79OFs6TUd2RKt-!p7;p|lm>;A3SY4x}G%e_P z6kInkFc)sQGWUxrsF%c!7FmsHL8h=q9x$hg!Y>g==TD0JM-sL`&wb&>(=;?w+yk`J zDWI+cmmq+`0e&Vx1FifcD<(hOngQPTl2LD=A##2IwhW~yzb4UY}b^OWqp-0Pl6H9poGpc5PaFifC_%(3QP#|?j}1Jyx) z9!2bC|L99NzyH%M3&o#f#^CL3@;NEiCgffUt96Oix?0qEIHD{;Wo41b1LlWQaQ;@+g8P7YyD7`p^? zIQ`LxF*$p<_fh<~Qc~e%Ocg`;)RVv_=|HIeMn}!3biKeP2Wi=78S*o;`Q=T|Ci}m= z=X?`NZ78bQX;i2#yDUCYoq~i)Z{jsA)9N#9mom zk)}9y)^d7rv+E~k8_vG{O%b4Le}o#aepOl?9g{5A7bjf;AdT4y743sDwA=H?f$;j@ ziqMo!pAClC%KWZSd2H%hE2Y!drRI<^bUy|TnI4hp2(7|xU@K|&gKJQ#4s22o*%f_Q yj5Iqwpe|V3nvSM(P3tsH{|7L^_}X}xq27EXDkRfla*hhlu7hwG0-Aj+JnMH%_UmH+ literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/AngularCopy.png b/src/SketchPlugin/doc/images/AngularCopy.png new file mode 100644 index 0000000000000000000000000000000000000000..8796314042f784d3b91c0d782c5bb798e939a48c GIT binary patch literal 25373 zcmbTe2UJsQ6E=#16qPOlqJR)W2Wg>5M@qm*5$Q##BB6tHP(%;}DWQiV(nWgj(uB}^ zFH!~Ry_36xo^w3kx%dCqx@)N{lD*$O@60pv%*;F60F_7bgja7}#lpfOgep8x!@|P0 z!NR%}fPWeIB%C|`4)EiWgPOcFR&LkrW#AVab19e<7FI#XwbN%;fZqvh6|^0&u!tHl ze=oJzWSRgUQaj3OIX<>Ab98=YZ;EC6%);7{%i7eDo}cR;7cb8iy+tGzmQgVDft0$7 z!CDPo{Ea7-brR<_$n_1kNhjw#8okNA_0N_spL9G6rV69izU{;E@#`(p8-7A2p+WQX z7Ahd2`RkX%rDQKLQHOE}t*;MG9@h=p>@D|aNNWzNKhae7u1osCr(W}8U^#A)e?x|t z?}nb((l|N34?X73EPFl_M~Vda)8zdW@$18P=&xYXCT0GM&&hhN?8SZPxs2YKFnqg) zkGXXFzH!S30k}Q05B-ONV(8q(b=v~KLUuGGAk4Zk*vJPYWp??f;3|GB`vlJ`R}`7t z+FXeZX+358ui~c>Vc`>4`~1Ekb7Vdf#x-3YPb_|B-!_eQ!_{^7EuLAj_wB$)RdN zmmYVdEMA3^sjJVXga>7uyoOy4l`SWzEQa#d`}o*b(!c=C*Scn5Y3k-{D&Oti#nP>vc-nSl{GBK@IstZ8HoZV{gsvgTf;Y zN9GR?eDEN#WnaOUuxe+(OKsp$Z21Aj+WWCWHk$3vD@)`+%+zn2s$vT7Z`&0zz#b;j zWK**<$q+w(K&PM|6_ai#>ysVPM3#k=-RAq&71|(6>+~)L{^1QQcREW}&IOVsO45p_ zFczWcVe4Si0FD&FqQ3>~qyXi+9u`X=qx3Fe#!60AP$H$FA3>?DDdb41&zKh#Xb>5g zwHJKpEPCGFD3mgSIMgH9$anSKjkyW%x6}tg;WwC(r&{lWNUZvHwLTi9ti)wevGYzn zYXie%uwLPR2QM{%AKz5?5GADeQALE z4W*agxpOUnoMPwpmg+-+?i;Fs+gY_f39v$WX)tLwBz9!-CzB#z^63*m$W6GK>qi#h ztORCpjq8eKr`cwmaYc|*}ao3%0w=;8!2^micF5GC_R~sv_l&8(=j47xyZO+a(*;1#C(5s$jVTz*rLCf=uWXA zT4WtE3Uh8L8&0oOQ&m;fq=bxm&+kroy4OPTZS1D+azx}sf1wpJkqj}ItUOqPxX($< zqj)E9_(oGdyAjyYuv0YSOdfw{r8Z{B2!3=$d#c^BSxT^jVVne=cDmeBXu3wGAdyAZH)>C; zd6MG+sATlz5TE|kM7wUPq)eahqs6v7=yI9Qv4-b*PA11eu}!UEjD~I&1=w(!&T*no z(>F{@f;Nx6hrL!Lg@-jY_#U61XeN@p71gUVsur}Myv6oYYapk*f5NKNhKm>UQS5l1 z8F`x*t`c%CgI8DZd}KxDxMs-x`}bi#W!>b5Q9w{xtg;pLgR7QSg&HvjZ=XcqlRb}z zZ!>Z-f<7phxWvUo;U_?>#vI!sSP9Y|%2u=m(IDYHAM20zRs^l%zYN^z!Fc&~>X`Ek zH8;Dj5rhXCmZ!sQ2YXce$G*;sdmf(iHBV?OO6+qIQ=)q_7nr)1d{`26a?y)bhIK^? z?mP2AEXjd8XD5CUVWO+KRqJDYH7Avn1~Jq5clRJy_aHJXu;_9r=ccAhIkr=-KhCzJ z>-3K15sbMtrAy&TE@!kUQIB-oLgkttnmfuW(GKV8>-Jll1Pm1WVB=jCKT7@HbNBd; z=vq3`x^nKr{icWB174pdD}E&MxOcrlA9(I~TX2d`J*iVmD>_luk(b$x@9C5nHiakI zWjiMG>$kT$v!`T&P`*W0J@J$VT9P4$3TH!aluB8$g&Bu5cOUsJ_Q+4Kv@2*ev2$8)5hEAtR>Zg1$0YS@GVA1j08Fq+%T~iXSI9A)M$K z#K86`S1&WP7Yrr(te$1xCH&)yp+vz(M-8K91S>g5vS}n9S^h0HS+xR9_q|ca@9%^0 zYPIUnJ5KHr?#|t>KV5orUe~Y8JX2KNL{0YKXgBe5@a-W@@X6Ps^GMWsY|+vE!pfa) z2}Ad};I&RaiPS_7B8AQhe)Vu3#JKt=xOp&gH&3T$es4 zPgA4LZ+jECro+)MDpVQ0n<23_she*Ct^fM^ig|+5P`CH_PEoh`$%I2UCwt0tOOk;@ zrvL!%h#wNWJu=%_*pH*=L{`4g(TR`6h=$+I;P|PPwf^|nv(G{LK)EK#H~hoGHPeVH z3Klk~&aLN*BU+v)q4A6_Sf^RAmy$z*JX81Uo;A{BYZ52%WzZ0$@z#^!AwewL z7FFU*&Qs{GerHMKP>S)oZG>mL^F~eHaZ1j6xj1grJC1i&ar(10jYy5s9r~;e4U;}%?CX3HQj%^lAGZD^+~tdF zi{`jtJ1xpaS$ubsjy88^Hm{*%;T4B} zD;Iy

a?xoIcp$9#7r2Vd`u}yQyh2S2?n;XRIQsn7B|z;2q0EVkqiM}Z8Ex{;gaL#|RSyzto^SQ#L?jIM-}y_JLGR#iT;E}eWA zlsmGlw(iHPeCT;YQsHGpFBp$o$OG_vSS+vU*6b9q_*DAj=7RN9qGKuatuFiUPu}OP zm7Ymq{yC%WPxmrTG*_ppmKqb%kY$vFRF0jn$jBq>&?{R*?v^57&?gcGiU`lTZh0Ip zbeit@UK6=;Xh)|Wnp6AE9-r{()ZM{s^(<+Jr7nxwLLa{QcaG4(&rd8gA8NUL{IO)J zT(f&C$3u5vjCjB!@I%)2;ao`n5_?|tteKsX#QmKPKJ-Z)x=KF80x4*j8op7Jk)xM& zwiV(%P<;7#X^=5?#m4(^E%pGRSZd|m`IhoLq9a^gEm1P5*+KuC$bnaTRpfNc4e&F( zPS%jlcYWoh&QwjbpJk3~#qbICySVm~Wjoi@E3W@74T+l5Cj3MO$H-b zkHbVwT6$R9k9RkoOr$6!d5KlM+>oL>+1r>*8-6uPQ}@KI&6b=W9PWdvj|vN>L&(!@J4q8c!#x1&@%^r)JypsEz8!9?CgU-Hu6*ys|oY=1^dp>Y^80 z`J@}`qjiCzs|e5c5@TZKb3=mD`hrfQpZD_!t5@U3BLHn%ZC8%d+H0XH&68vh8F}Jd zi|$M#C(Q1afHDzan8MAxip4m()9-lm9|#lI^(u78`;3lVnkh6zkC#&RehyXv1j$u< zI15E>Y-))reI`n-0kh7&I->KVA6GODJ@>l3 zUhWr1%136$CubaYmaQ`)MSN-k*=QC*4tvih?%G#O}tu zeFYAbL5X~M8gtAqZq$D4aKT$1}}-X-Z-=@qEWEihH=z za`B89Wox@RnYEWQdJDCGk

Ps6tJvw%KoW2C9a4@f5h&J>(+Y``rqRQ1{GB&6%n}bXS+R!8CtKw?5 zlG<=S>&m%$b+9Akx8+ActNF#$t^#;Bkg6JH99DALEkvfCb{yp2&j3fU&*c_B;Fzi_ z$IDw8ADu6HJWMUGk&J2+sqIChj2o_0-E>~nnJ7^z`w$>_Ct`N zTFqRea)Jh+s5SQ(NxiLx!erQV=tI0aNUQB_OPdS{l1K_FL5OQRXW19M3j5~3OM^*2 zCp^de+cKFF;r7Q>%;(e8=0V6Z+o5Ave^ef=gm>?|SO>ov;i7|)E%SKS#h8jDww+1( z@%eY!bi!Y}PduHTZy#PcY4*s&aY08LxUmWkN9$MBju$R;MR7)hYXgw#;l70{`A1@M zoM|D^`Y%VB1uWOA52m(;^#y;#S$@;=67B??J*sd%M}Rr6d^S+|=KyJRNX_ik%6NFV z2K|x^(JZdG^{9O>5Z+kO9rPlbCJ6J>17-8>^C*!0y51QlYPlZ@D|y!VNZ+>p3Q~|# z&tt3Ed1A{Xva*{+6BVNg9<5m`oeqU1y025uEp9xPTQ zKQFK>V^|>LYmjeda2(5eym*cJ*mCN7cxQLXMt|wBFI0)R_RL_kW6wK7f*B7sc{q_> zGqx9h U87+GXb(Fh}f1kj7mHG(A&(iy4Yr(%<@$LH@jPZa2M=ZC@z`@d3C8ras; zogakAV&f{u)WxX76;Qg|P8&z#JG)7+oQE$1;0P=CN7b{REjfqLXLQ^-YI%TnRnF$e zY*199v$=rE;pE4L;?zOIwUq29x;^HW}qQuj8RP8D% znm2n|R&w2o$Bc)@!wYr?FeQ*X&5NNd_xksf(`i7+I$QtpXX8|HWhi zMB>gn6b_s>^Mta6cR|n4W1Zp~m=zT9E$qqVVpPR=5VsW~H&UktE$9ps<%(22Dcz%| z3EQ|JN`i{aufJ7|0z*lLzi7*l9qBXln^%jO*KtK~msw?xfZnPX#zS0xBshmFt(n7KSJGR~)>Yw<0yqj-BfmEuQQ1tHrL;K0|CD zo1e{U8lCZViLNv#u}>bUylK&WnTFccG`GuP_NhVJ?HoAW=J&r96Mx(p=oX}-$Oa`p zWbd-K&elVMd!B5IycE)VM0^GmbVP#R2f=9jM*Y0%!r5eh+FPQB&y&R6?>kRP*VI|` zZ%un@+5%)X;XJ(q(fY(2_m0S5)-`IjQQG*XSKjz|Iz6nxYI@r_c@}1NKk4JTp`7P&mhfB zHn$K)OS>>9aG&42l@tX=F*>h}D&ZsH6XiUf#YIq4(r>{q1J{K}05D^50*p(L~w-Q9M*`ZtPV7Fh{u+HlfZ_-DgG$`~{Uu|pW&T~DO6x4UO%6QF}$!jzuukX)I zB|eAk8b_=^vYb+Vu1`F4*SUJ;gM4oFdY7e51^NTtie~Om>N+CtCDlCyxZiMLpm6%`0?``os#wPxerO_yBYfaoi-z%V}6SI z*Ih&Asznu={0w9~1Lp$enEQHmuX=4YkYqjitUhx9Ue=nx+7pgLZNS)+NcsJx&G!3~ z&ZMas4y#JtcSlAG=H!0%aOy;e?vH$zr(L$4SEx?|V&PhefY&wx9gpFRC&h7hjJg>2 zboN%fcLp`l_CRr}M}5|{zG#If008<+SgBi%#F>Te+L(msnd5P10+809%Q4vr-_1*> zNBZ93_kZ9k(~ULmSNP#hV5b(idziAjsKA$%bmD?t?vH>P4YxIX!@=w;^PCenobWy& zX%Y~rCgdo2@w)J*eALnVXnbVqyN!lq<9!96x@+>N^d7(JrcdxmIZcO=5j) zkRW;#x!nI=QR#g&*IJcP^Q(n+JPw_KleMz@k5y|G%b@+ntkokotV~JSgY%}9Gq}pmwLl}HBFL9Ex`qq1UU<$&eS8v$ifIFn;cris2H6Rw@GjG`(4dMVsVy_`$t7d36q~2ZI9Ua79*+E_WPA3){a-Y z(?d4kM~Q53L~zm?w5});K&*Oyy3YHX4s>oybzYm;$DC1lrmGrYz;|S*2<~jYZ(rC^ zerJi&IsE!C_e-8A=jAv?B9AZK!)6CZTb}4BNLG2uf;*y3#Pyn>6wo?0QFsM`lChFN zSQ*sAGxc(y(a&}wWU1~W;UoEXJwcK2eCCg;X4;|DHsxhqR>Xo{n_CcosXKb?5=5Nb z_S`<_cdEoO8YcFF4cB?j1KYW-L75219u%A>97rg3OD3e}6>mrw1D!GKbodgVHHGKx z$H%DM!ImHJ^Dci_Wrg=Sw@1V$KA`4kmL9cSy;?c`>2=XN#Yi@byt>+zWPe=nIi1yc z`fb`z!u*KEm5rKM4L&~0Mu~I|i2(pDK=Wj4!SSOv zzY-{ZbxB!`@~8djtO?(2v?`0a1Lnj^blTWheZy}c{1jLg z+V8-_Z(EK9Hl`X0tXHm-0(@1l!eZ(}ooBO+TA8*>$_+0;7BdOPl`j>IBF}C1zj=4* zp`DL2y`4^E)>2>6j)FWRG;P6iXZ?ijEGb?2DnOUWwqrs$z@baH%l! zrJwf2m;lC@4DU}T)EBTm7ayb8rSSxqhz(6#et2_3BS)wA;nof~a*zs-&p58^j?4L# z=mghAZlI4by*{F9J8BxemyoX$YaGznW|JefXDD%4zd3GGH=|j1+*#rMMfFg+eZLV| zBf7a*Wf`hc2!qtjhXaM{)#Vzf_h+e|w_R*9P2Qdh1gb3P<2FL}CRfi(~hcSLCGh5f#jn;_S1RnMp8prr>b1S%!UW(H94A+2rV(Tmxh){{^VD5cnC*6SM8C zJ9Ct)bV5#ZgPMjp19xl-ocH^dvku>%$Q3&Yg5r}sbL(Rne34v&D1OFY-lQ}vf$sS`7fQdI=AAVE^_fy(=5VHr!t3ol@_S<`XJ1k`AL zfVO#Mc>}2VL#l=Dvn?j+K8md6J0NQdErRu#D@x0;LCpKntSM10C8$vv z^wGnrOT>e4wKRkFmZW7hep^UZ2OQaA6uEmhf_h_m#R_>>8)OMjI`l3!Je#9iPT593 zXW!A6hM+8f&S-lSr^P7o`}ZxH*$~jy)Q?WVX}uyd*ynzh&M@xzBpzt1Y&3)#_YQ7H z^Bk3Zew0b{H(o%@%*QS*MA8eYTiw%xmJFQ#6Gx{!{}EH;}G3^{{`hbg~; z&+1|KieO$&OPe{$#V-V0jF#mM!{mpS2R3>&-JQHGx8jNG;QM1SRY(c%!&XvbHlGLK z2`A#8C1$3Qt13yHS|&`@?>Nja^+vBi27vN^T#4&Qw?-~d#d@OhEbZ1_ZPg)JTu*@p z_QD-SfMj1skscd=IL}Y16T6l?U-!_N8e(I+{R7gUggj32OSd##g@@x5 z%sQXMATMUJbo$uSpzHB_q&Euw*+V!<} zooc|S?n9EmL2lIAqL;@~q@9i7lY;<*IWS?2x>}Z0QM4Q^V|K06Fs8sImWM)$0fjKm z-kTcypkDagvRzX#k>_*lwqA`Ky7JJdNX2@jaBU$~v9CpA@75g&uXukUsZo%W_ehvZ z-*oa|-9x0A$WwC!&?63VaZ$kc3VGcT5?wJ&rD{q ze_%-weqgbUv_Gt>USY=26p{7k6~i|W{aFH?*I$ZTGSh<3hvsT;!E9>Ez@DkbpH(K$ zjz>$NWgyDhb9ev?eEuChMMeqzZg zUE$SUS}O3e^8`8C8zJ@wEn*T|=4NdAn@S2LPo`vWwV61POXC(#;NW#;Hh##mB53L(O4 zv`NQbjmbCME!Sw|SYwH7O4svi1hPyv^w;7(*qxjS+M6Vw{$PsUyp&}!erpc`A2oZZ zNDQ1tE7;4j@J%tp$zE|Zz

cwIU`1d%-%7rmLNo8ulpFE$=y?t^yCatk|jQyj>OY z_A#wq6=O;L6x#9H6PL_$Uw7yAlUAxtDzdiyCzfaTXTop@7TO0_a?4bqfiy_Hz1o}9 zGoz{7k{^v^qSDo8q2EqGNOd->SFON-ZYQ{d(`W7oYGh`k($S>vH8v$jE;60LhPeZ+33qXCf#B5~+h zX1OD**GV7*k~e{Kuud?$z;lT0)Yu1vQg&U>6w6%&eC@OPzHj}X^Hp9>ZcUIIe-?wJ z^Cm$Ow5^s)UB8Kj%+TS2YS+@O_9}6bMDUp~BLPnlSg-5>{Uc#hBb&<-qI&)=$!L`n zU;3F;lg{!CCCehI+QJIi=n%t?Z)QUbU!2Kd8~e3M)!16@|38w*2$f%C(bQ*tA6(JKgT;t^;4fZ)>xR4{?ev zo}n954fL_*N%EmsuWT-ayOu2&Q|NWzD{!AHZ*T-qVT|z0Q>{6kC-<#wCar!b5z0;2 zsC$}DENy`zax5v?*igwvfY;m~a;@G?IFe(f9sy-;Ho;iLCILua02v7je=yZkKIlZ4 zRGV4Z?Oo+ZZ{Pp~fHS50W!^=DiQVEnie4DmLT;CwnQhLsgwTn2{7QT@;lr4bj_?07 z(oq8(L(D&WilA41_eSNo9B%}KrS1DGVQq?pS@&bLIyoZvC>X!Bh%G@Z*e+vzdj0EL z90@=NSTjmMYMX$L9-kZedYSc;TtCM)^Ob{M!|Qp%#*)*D#-IABud@n_A}- z9KYvNE_#%}t|ds-mcCsRgYDz}((pwO3|OxZSkLtx$NMOjoyJ#iwJa z2BINmX68bsKDd1mE>`PR;8-*e>(%p%xg5+E{<9jT&CvgSH9&x-OMmF`KaVp(>J>w= zu}rQMLenl|y}NGh^8r$kRj&3rOtBdmWR#gKAG8!kl3M+z0FNNrG4`$D4Gm=CR|SDV z9p}qH_#gttaxhj*u!*>SUUTD%m+Fp1+ zu)gWF7PZtY=_r`ey6;ale0;yr&|7nF#*+Yz2zS|0#iylvA80R07o07mG2DiiB`+Hq z1As~J#lI}b1y@s^O^_W$3(bnjUJZ$>QOSDWO0n}q?t6rS2lk)4gBOP93(0IdmMIC| zhcdf<7`?WZOF=$wEb?6&8F($Yem+JV#3dQJiuZ?IMMhJ1o0n6+Eh|P}A3mIvA$nrM z%}+(rD!Sbg z(Wi^Bg@WqB%@8#FSdtpR?14HC)&p$8`tMW72ce?x;fNhU3{)i{S?X9=VfDY-j(_gw zx6fhCV*LUCKQBmt7e2Q0#@fC)XZnv{vIbh0VpaCafTpcFU6;;y>&?RM7>3z@4q z;C-Oc{9Cg<{hF1i${R59sdQp$#VD6LZc-8D0b#%VG(sBo zh+6{2cDk_Y$6M?@*N1sy^I$^Xz!&x-R5)yt?G?E8?>a}a{v zDo!YzEug&_%D^92tZ;U(;CiQGQbdrJVp3eZQAK)8)NLN?Z@m1RZ&($t-E{>9x+)%F z+<6iVlf{zcwlv|7c!rx89cRv36=pDnaHiWenK)bOOaz%e zuU(_cW3VPgAux8M_#L`x;k;?K^UnwpZtyOCe|h6a??6Fw^@AoHXUj3p6u-rBSPE0q z+FjQhd61(n5kjm`ihs!Ym@EDqn%5`5c~{%73~Dd$KO4hHn9g-0Og_>S)cm;a?ZiUd zG260CRI&vGVjPMG_OLdNK?0;!fB~-N?_hd-swfC73Dk+gmI8ot(EpX4#b`oe-LCPG?wo{C zGt(cD{h@o34dDC{n5+8-1wgAz3n02#zbz(moGmg_%1b0d7ffYDngz=?Oh|tPIB9Vh7XHl(Rw%%S zlQj{Jq~6Jg-UrC&@-Gfx$cK^$K1ICz3SN5!^8qFVF@*9S*on3!KbG{{j;BYZyiffw zG~%}(RQMhX|MvfBu|%tFeZp5Nz;vl4^}Q4fY2gFlpdonmbmrH>01;2Tf{k557GpyQ z7=AUYIA#aI=C#?ENZ2kUd)bqy`jQmu^{+D`z`K3QFD|zLSn~nauf1Z_gbVK@y4VfL zrQcct@Th}L4od)xc^y=gBRsWNEW} z6D8_ha+-A54YnYpOOX|+H#lawf--r7u0-#<$E=@ezw!}>vc>n zb$yNcPc#Ao)BG}(IvhEnsY0*fCmHeUKpg-yz4Zl_##F=LYA@x#ow49k{My|+bEIA} zVC71fH5;}Wpbm;l$-sOT4dQ6|` z^y4^q*y%vD}<;OZ|M|n@-E)*2$BtZq@NC0$OQKqBJ?fdAkKeMfyhiaD7M z8}7v4GFFexN2FZ<`<}PVpTHh{s|U+!3XLyEY5mG0{uKrK@baN~|J^1#vg5AO8x=R7 z|2kNz#8X7#KcNk!^vgB({&LOd-7Hls??XSaMvjEbl-Z_E*%HjF@VS zuZ`;}MPJM1$C(N;AoJ~xLxh81{O@F)0nlr~gJFrN0H}kmqh5x zGuvaA!zJ-87B;QRXaA!r9Emx@zUmvlGZ6R>DryU~0B3By zzF4dIr(-CDa)iU!WPJi}^cC`ToxbH&r+qGUKO%PF?#Lk9z5jTF$t0Md6k4U~jql8# z(!oJopR4iuH!Zl7qGSOed>WsxYYaEXy$<*;NmL zvh2A?n{9RhTl$AU3uSYw+i--P8-EwR01Z9+ z;|1ejfY!#a<)N+p8}4R6B6^W_C-EcoKEA#Ri~!0}cXCz2aykEu)TUwOHR&9v0Avsa zP<#RBOeFqa!R%~+e1n>Q`L}6UNqe4E~v0Ea24F+Q!@)!nqEto9Z9>EMJnILLBJN zJSul5h)|{bg`Th!2nuJff#AiRrhNWf;HhZ2fgRa0e4Q~ zyvy^NKX`T?Ixa_{+UBbnGOWV4&bIAbXFi-MZ)uDcRwc0X-Tc%RM~oYA)fVGSeZYy| z5jl|Cy~xFV#q=qgr!CVR&`v09{p4rzq~QQXB%>i3c+;u&&LX=Oc`cM(0o5bp!gH?jJuNvzW(Q zkyz&nJc7y=LirZFVLbjH45LZf`X4OJVFx$!v;w8!?_DNZ6{=%@rLH(yKI`VMrv?nt zR);*T+vuVf7J9kOTM?6V0qw6H2h(WZ{f+<|u8(t*NyE|pl5*t)-^Rg8SFe$=a`0Ro z4bFkB|MZ>f69ml!m-UxC^YV zK8iZa}k2tz{Xk{Jba?vMeV91owa`0$xN%qUj)^bwBLWugh`Oq+wxq)h9FL#Ph}E(# zpO!DwW~aiUATXg+yN6Q?a;d9}F)Q}6UM$flM?U`C6{F+ZlU$TU5XKCH}2}#z0Sr&THQ#UA_ll8ID|B4?;*ns7jf#c&+$h=>KT6jW4EhbO+J zGXbDC{&Gsik<`lqUdQDgbM}SMsS`$cJu8f@UnA~N}$1Lc~O7cTHemh zu>v&I^ac?6dr;ttKGx5L=Fv2z8#T#3($1EwboBKk5ue{l3AG)?KMHEpN$0 zW4#WCj^XW~`Gr!|#mzHCS*=<-U+4F4rOmAW5 zC({{4S6llvZ0H!07HKXBzWGhrYiiRtY`P7b4m>=fCyV+ZKMZ6=#!^Q+$ZDC=@*l9= z3Q1qqNk3v}V}Ls@#amrSQ@u596%*GAR8 zD2Xo^AKC9P9Y5dpe2`|H2#?+s=0F5QB6zsdLZVLW9GC(4HQm33wIFp<(e&M2zLhxQEE&s1T#J3vVjZr0WPaIW z=U^Ho`yHrC0g$*jcdcqg;hKq)@MyBMF)WlpYr=0wz2ANGALm>h*NI_Y3&ptHyX>~}b7WH&tB}j8oGK0x(2Y6P z7s|>bqAB3Nccz%QAS6N2PnmF}5F4veP~jVr8tVqDU^fO{)^U6?X2vjtDcs z)#P{-sMmfQ5qto1R`BK!3!$tQ+@}}Z!XQU~XkmR4Oo{RiuNU}8aiW2o_g;471icdkI5{9{0 zPXjQO7LH{8-|1J8&#IcW3RkzjFO0112g^^aRv@h4{QkNXA`CCZm6qLNrenFbc)JkD zLYXszF8}*kKyq~JhB@z?yS??ZQmFLNYJ_U&k3Saq3T~8BWs-|UkS^E*|B`Esfl@qP z0-DhMr^#dv95h7XUVhNaC>{G9+f+(Amc+pksb{eG>NJa0hF$`w694H_VX`g%u0BbX zR*eThVgVXx#$;T_+q~ux-H)iR9O3@ii~tUCQ1?Pj6{xRwqNs{POf_$zLT=c{+hv?=z zwJS6wm0751InD|CQ*NzztHQ-=c?Mb~;&w_YH{o8-a3BFLgz&;s{0h~d+IKYsjnm^H zsCV6As&Z0Ses$x3BXW=VW-j2wT5_VNJaV({sa+{5qiXLN^2@}mE2Qq;W#ofuxl&X; zpTKvD8Gc2v_f4BoI3~FLQPEd?4*3a8@zp^WjQ#5C3^-;KOyf+~222+BTfIeJd0zzM zGAygzSGx1Fm{q6v1tHRq3ZoB%8N$Z$D|v%igxgTSTJSQY;tK~$QwESzel!A(y(}0n zk#GQSpN`K5?Io#O_2-PWH}_ASDI6N+3yr6qcvM?zqPGw&bzBT_!(YMe zZ#JpY5}l0PjqArijN;nT)R!r+e)ZX;yePYVDl0oC3YqtOiQHI2Yf#$*qe33(<=oz| z>bV}(zZdajye^m3;_EVHKm~!mQ;q`k^a#kCNx#DGDIno}o>bnj)8?7Sk$2567of!4 z_ccCGM*up1zZU#?1$fs!4@h}?#&6k%*ixp^lFz!jo8UfP9Z&NyCs1z@OYY65hzO@RO3RHiJx&QifJ@$f@Mme(jIL9 z&g=nbV0wqNaQj|7Jb5lH^v{^GI7hnDm(#4K5znV=Zj77ch0C^u@=1-(CyKe7bLmtX zO}foZC}Gr;Iy!%yu>8Scpjrq8Pc?KB93AyG_^>Hbq*eCsUL}BtRZ+W_!KIUV);&J3 zu*@w*;=4Y)fZAS8gmc>Dp&w+KgIJIp%9sU#cw*~^J3((Eo7ECpKKX(l0^Kyvxgo_R z{a5tD0M&H?k8&7(+QR1K?X==qS9|t)diwERNm=wY((5=Qpz!yvVaN2=fs2w8)&jgp z917fDd(5rv6LZ=BeC@NuBgj#9Rd%B1Fyn#vp7ep8P2RZWRxQE21erq(KRAgRr=~B^;e$IWpBa#`-0sgVuAS0 zP(d{c#_Q;jl=F>e-5VjxrUCQC6c%5{I{3T8-17!jTZSGV!ftt=^w6Nt-o3>9eyE|r z;|zg=G5XC`wjVR^seP80XG_g}6^3t1w?@ z2s0ojV6lix-)aBuurJkvJFCm;R*%k8xO1nzD?g#L?8!X|OpuQx;7y^l&g@TCJ}Kaf z5FvdHvmPrw8=LQj(48$lOkuln5gGc3E?Q4ipX1*az%vC`0qy?4N?bh!UE*J)##MzFpI)tHl&xU<|(Xgf$=9Wcd^km9N+* zzgG2rskr|gwxgs9kGk+R<53lx5#Z$PFZ%$8#s#+H<^p7fdtUj2?Cs*miquStRwqGE z!k6ytTbc4-MyB$!vVkM3PqON)T+!GmAdJMpa712B+9jWyFknoJoF6p*kov0cy(q#x zzZz+?d#+GwtaVI{#(;I%ANlki1o~yfb^f*aSUAVj@azH;okvy>+1~xVjNWl%P5F|{ z6Ty@MXxqB7IM0WNzv49i+*8Ceq_zUPzvuWmaLAbVi}e714Y)E+G%-|8!8(`HP01?L z5m&<;d6hgCCV~mEusB$4)+L|1j+`3=N9_}BDy}4!ajIs=;LR(ZDdY3AyjT;!$;j`x z_NEwWbo`k(H|t~`0F}Gb5=~nuKsp#W@*A5Y9#H9khk$0;F6{fYV<3~L296Cd@#iTL zB-g3$VA1IpJ@{P6bXmi+^}{`Q;q)Oi!7q4hYwOXR=;L1=If{l6lAK1a`*laNI7vT` zRU-}z)gU@4os==VXJPj*6>UPGyNT*<&q`X>y|zc4CB%}iYm@oG_NfHU8$R#lS#Az| zhcYFtj~c75{fbBya;H_$v@YKlX3scieiFK0`A5JTNKoSH@z|BYTl_2AacX6t)B|vH z2u>wnKv+^)4^=)uTwAwBQkkS#IyY4O*v$X40tZsBe6J{bh5yK*1eb5fBlUVLK_eIs z76*ohCkOJF0qC3+uz(2bV_&|h_(4w@6{{Y^_se+$|IUK0w}S(s4QR6K#73PystJ<& z0lD59XCotBmb1*+x5r99Xt06Wm%)H>+@x;#R_NVillf1fd=*qcGpEr6*M@@&`>WVL zfw~tMYqLL1$L-AfJlM3|qTJ^D3}I~E0pPOQY$h^fz$^7=I_R_KFAAz5V;6Ri`C?7= zk5V2WK_bENFQrOwxe2K<1}GZxQ7DH+fwckS#vvS$YM60*jH8n_cfiJm0v5)NSNva86yQckK|7@5dsJj)x`S5s zs{ex15@gh&fuj)hP1bY4XfOJGmcqouytWA8AefaHV(F<}ay&lmJuNg{mghP=1xFn4 zyGH>r0TVOC-yuHaMj)dF(_eLe z3V59)sLgpy*EVMli%xtdh-OMvq#2Fb&SsSP4{Xep#7 zWJ^)vOc;t6_>1DnDI9l@*5{%Gl8-mSv33H~cFK z{F;nFZIdV)GXgowKaufb+GiB(uH65M*|(T=?+f`j4I^Jne*T}_axq4PjpShF*)64- z3I_&*eus&_zK|#=EYq3{0>*~^;x*vB7*j+%8hj<8Mr3{~Fg#|$54#e^#RA|RQ7j>s?!jQMESof!jfq;91?MPOdDGQo+b~+GEzyh^eYB zHopiyAa9zt!9uhD%;(ND(b?wsT>@Ne65;BD=j3F?G#1Kzi>aK7G?Aq%r!^T6&*W=q z1vx+vzE0eHgm3CY9C3xfRJ!92is}Q0q}8!Q*|dAi@UVpO2$-y%8tabZL8u{tr#x-( zK`haHZSnE{AO)C!p6WY{by#=bZPZWd*=i72S-08}^_;&eoEk3wAxX$(x9K(Gab2X| z$tY^MsxJ9k#~n(kLJH$Il|)u!;gi!nxR9;lgqEDBrJ1zz;EH(n+4>CwEcDL`)}SbYu*tOursHIlcC(6J3LAf zPjJq1aJglrGgevrx?+i>Ks>F*gwQvT;jOsJ%?{zGfM@=V*Pa~UrB`p526Q$s?rrq& zbCsPV%`rl%QsaXRQJ6=pd-qIU8J%ba9G%5O7dZ^RcJ*oyBhD7hSbSmPTtwz8k-=%b-2eF~gUKSkw=x&O%VC>wuQ0K7ea z=&PN^4=csMOs^6>(27YaPm(*KaW4N)UsoOvW!Lwo(jsfNkV1{wgivGO25DplBcX_7 zX=E>nkbQ}4V;@U2LP@wSg@`OOs#}&MOYSrx6%j>+=UgcDzW4L|JJ)B!4aiWz0Tw6GHC;>IO&gR6twg9J>8Bn+`tgf*t@N{&a%?)g;8KHcmuM*@narz1@=q z;mt3OG}qi2aC7Oa`(8NRgwRm?G1n_&DE-RYX+C0Q1}puHOFG*>O2&F*$CNToi>7pL zAv(JkfGLKM=T^%2eGB%mdlA3T8+$+>0xnUdrmcHLC;R;^=GwkoS<0tCS>YRWf>4M2J8b?h_2G4W37y{>JLY&$!U(Pl zGt4~yg7A;?SbIu7fkV3|Il*6!U%FE-`$n|GNMy!?fLO87Z66K0nq@5E_7s(RAiaJ4 z#2xQVY7Dzpuq$Jb`uye53dRurwyt~|RAB91&NxQr?{?{m*Au4{0q2tTBX<#>F@HZg zsSOd0B(J<_n$I6^+SteJ-7Pw7*=JeB2)pd!+y$pFzG5V(274YM5@sU4Ajx@;pV{?S zXlwjP!MfX?Q_(FpgkZHSoX$L#fV2X9iVY0A;5XcOP36n{kpH3V@&0PvsoYp>`Z2v7 z)21$ca312>=tP9D1`AylSO`!u`DrOOl`=3_&K>1l8Y{WgP*>2pTj~_iKmX)nwkt*d zW-^@GY*v%-5AI~r!D(~3iXx`qbDdDah~$u1_`3SrVnf-b(KkKN{+xm6sQ=ojyQ`{< zaKhiP`c`7sE{bm`@v7XR%Znmf?K}GOXi=?P8}vif5^Xb)lydykaQDzhj+NS{pj!1G znk?;#!b{g@@9ij3z8pLn;%6E4Ci`ePr%KrJl0wZgHdFi(&!7;yB?5H0m!Sfd2vi-fE zTMt>yz4&@;p9kC@9tBUfD^UHqltD|oBKD$~wEW{%+?2-5TNV=j7hh4GU!3G zB>270>ufi1V2;DZ=FOn`%r~pyXDfAk>$W2Ue`!7S74#B5+BeOsmxxvBIR#c@llYbwBz)2-89u)v|b{k&!tLy*<}KAw+Lkwu2Q zR9GZO9g@6mUtO&F9|{34A{BaR5WbvRkI6v5v17`JWcJEiXE|LfXHRd#7lQwZ(>q}a zH=J?7KaHt{#5*?~A&_`6hW@>pEfq{5%%~)7jmw?~4n^}$y*ZiPq@3f5?ks_Y##nJJ zDy0fFWxD2CKzyaK2&7)-2VrF-H1b5Lx` zJbmf&XOGTb|2%F<9bBv9v1kQzxdo^n2kH+@tPWyK5h|P_AK;0RI7-|~)xmLsr_#c= zH-N_rfVT6@nUt34ni3}ACt`0yIYNK^w4)8!G-ycxJ`?UnLF#W0BTP7;ADm7{WH88Y zm6ESUv%NbeYPy9m<|%P*>grw}o>xEo22U4n0e@od8f1A?jW5@Eqi7|`+j5MdDEAcK zmuuV=*K$GmfXoqoa{0ZjEUe;n3k&8xUMq`$DKvgs9-2b4K|iZIbsQ0HG5dh1|gJU&`Uz)T{m%cs00Y#Waq zv^5d3KX*BXDLE8wq;DObf;-Q~#*to;Mv;yTYZW(p%0_BQBglW6LL?YHqUOX6!~vi^ z7(Rq*!!jI3;a0)cHYe{}P^H*BI*Nn)Q6Y5oQo50L79BbGMfSFx?t^c(QJF2t%AAe0hNcFS&?_+^2 zN*oH>s>$Q; zp`i_+p`SR|P!htjKZ2I7pSveTvfn;OSc{Z|HI{T#D3}!jIQ8rYNtFBHbS}+o2+5QF z^dVv&o7w>6s=|+B?_Z*v0Q9+Vq{Zw(AwYZqH~~BCCTh%n;Eo~o5Dc}nf;>Quj8!w+ zBmljCc{>0Z1No|85L%Kk{BCl@_EcEvND^Nn-UzTIf-@e9c4A5ybX*6PJhB5_w*l4a zBL^XZQXcYi6In5;P~h8jwu)i?I61_txSZ{3&j;gHr1|Shpqfq!1r~#bd)=2Q zLU-t=gD-z=PlKiAJ#+fvrZ0>h+gDR-S%xPuc2Thpc3_2Y<1Z0}A5FTpwt(nG|Hqp< z*|t>Y6F0!0g9Nw>>FWW`0rQDWhkW9Emd58wplz<*J%B7pMSdz>qm-bnmO($VhVt(5a7=G2U~+(8NpRsEPj= zH_xG<-f<tkFn)h-*KjHk)T&uW_Dsz z1)A?P1sxGe2

!g4~)b=Xe@)i8~}slFW9(#E_Avro@u22R9O)MH4V>`|(b)LYQ`K zKKq+;T1BX>?FPPQ7jI##|d+t;WcW`P%@*yIdKEKCCSuDh$ZVF6W3jY#=hZArOFK4@BO*@c3qaD$gNhVlCDmt=>B(6r6u5PbAVLyU04J zMrdD`2papf`0s-R%G)$)5J(+ti9|VLqz$BQsAHVv$^qGO#Y5F$PhcNMvY_uu507IP z#C_ReuYt25hPua^^10T1cHA|2z8xz%-WC@2&GhZL7V?aFaRD@q4`0dWc;oVKO*KcH zPRwLWIbyn#T3STZv2ptR0cp%`xdRKuv=oppKP&(p$2HN7N$iU^1@!<|h_ab?JAhVO z7#$FH*%DY98P}?X(%KA+41%h|j2F*HE)^*Q0b1tD5+VN=NW*#UO3i03p58$u78uKB z)=UyZ+M`w!RtM!;-pP&ZHh-fU|5MJTA2l3`8s1wFnG9mZ#{gprYucARu_c#9iQ|{F zx5`f{16XLJz_xHg#sn;I=Eh}+WH{nu2D=CG>g1^6_FA^P-Y77D0|)brR6M#Xl!qz( zOVz5Y=&-R=;lY`skhF9960Xh5oEu748F_v{)oPZ&z%Ihq)I6@7A+-UxT>)?jOa@8(eA@)Woqao$?E*8 zFs3-P4L`YYa4LNM(xM(&TiyJ7?w6%KmD@B=C7iqpZP6-pb>5&5d;cS^7abr=$ZpbR z(J&@I7y!2l!G}y_Z%Cykh~=wK-T7{_9Lqxo- znpZK59t&o|H&E@;T}pE>F}Bn4vurvqizP*@B1nUB>C z6iKRxi)S8T>SejgNAUg?CNPX3DClgyX(YRIlpV+F$+l|f(jU42I=Js#^^1~4+xDa> zA|buWuiDXYWKkbk#m`q9ul&rcZ7xSa=~j=TRT)9Wmo)IH%wxOe<`BSob{e+QDInB{ zT;CLY^>w<1bP2nr;IAtGOQ-nb0d$b?@I@#V&FAe_VcV|0ABB=a2^t$+FW#b=Ej9qp z!V;_TJ`RD*k#<<RRoN6U>0U!mXx%VI%jyck30*Rg+UiU5XO2gxa;b5($|v4^oyrlB!>b

-19cV603ny*`;We^t>{%~H56bT^CuQ;H4Te}cZgNgEm zaJmvCA6A>Oj7H9cM;)x9k|6ff1Zdoi+!$zyB^#+sn+W>rvCz0=NQWbU!?Q|>Fbg7h zmTEIUoo{M0Y`D1rX1i@6ofIX1->VwNJ;?Idg+je5X^MGem&uawa3?#kJe{QU#>atS^#qj9SI&tB9rHr$n>UBgShVL{-gmGgl;C-Bu3@@$sWygkj|Gc{-VyTc z1Lq-$1`PmwOYv3`CfQ~rI?jT)a61i)N0=KK5qE|XTGfAKe)5QZ0rpT;{HO_L8g4ep z3ljnj(_Ip0Wrt?Wzt6^ng|(hciDIpBL=4x^I-3J8Ny;k~7nC;JDwa8a3y#`~>6MY( z|C&`5MKp;^E*#hUrsb43`VckRz)$LgVq*>7Z`VHny z9?t{kn)C{L`DO~Cd@H~LLfzV@hLd!wu9L~0iN;6jqS3YcM3ItR&CL5{8D%87*}%iI zK|S_S#);yhJtbdD>COR=gzZqTQ41*$2V%SICC?f%$ul?QgP&k z94pm;4cwf;x`r*VNF}(7z659U=MtDZt3sm~=#G{1aE~M3oKj++G07w*ZMy&)Fn^ZQ zVk3p6iLzmw=x^e?^HXxQx}VadsN$0@q*MiTO(oh@uMIb*)1s>9$7k|XUNl&j&`mQc`K*qpUbi z@-=2mkGM>68ww>U`B=HNl2BeNgbc4jyB3HZRc7$NQ(iQ(w11-ToR=T{?K&;AqTa)a zooDA54j1G-$`@y{E+h3ny3xdmQhq%MD}$?RQ92aeVbg$Hq0dZ zUKs|%$c$|)GtU|7y6@k0-_P^>{*8>+Lge2h7~d3zu5H|v8NU|$xN zqfN~JcC~or-32ak`Dt7EnR&VR1>E*=W_fVi)zeSX)7kIBRmsbe(pMHQxF)i&D68vg zsox5;pGUCPo#;h8a8MNS?gI?E`V z%7tLi`pp5y&c&Fm$rymWW5TU64;%NeJUakKChxv=MLjisFGie&C0nSKD*3SBq6aeK z01Hc(Ix?$(56^PUxK-wsEElEDqcZZ@nM49t!C6Ywv&96E<`WZ6eg%_bz%6Jp^H%H> zjP_|F`dk$#kmWU}db~t9C*NO?CIJE$$As%q7|-za`aD`0*EzN!g4N)!vO0b>#nykpk>-a)qMw%gJK7*?{xJxLOeFng?snjd!Pz7Q^VJ^ zOMfZ3H@kd44O%U0s#e(ee(7$Wea7d4=|hbZ`wt2UvOX1;o}@_tG%n+_h#H??T(&_r zX_pyD({G(6lqVJsjetRDCa)GujX4kIc)Uw<%kNjVKol z(^mUXHc|uY{o2Y62~I?Jr-a^`fCYHBp*(c@>yIcIoWl2qdyu1R!m#XSHEd75S!Uo* zR>%h{oGo=`6~mZU=CL6}IhlxmN8O$>&fP-$y!*?QD5G!`cVKUX5RE?L_&H7J9ayi^ zD0N@&(-(z?53)IKc_0}-d+#C4qO0+2Vjl1dyct-h_X(zy85Fqtoo@V0VVJxZHB7b? z5h1bvDEFn?D^r~tA1q72kW7j3VU?hzs>vjqyS9c8iaWbR%yI(9o<;Hm<%Kcmqcu=p zoZMI!I)FQP4rA}P*f?KoJeV~%4j;I|a5&m3qgub_LDTgv?)>8TJW8t+o&-7!KP4-K z?Q=4}IclPkeKb6^&!%aUdxN__$1pIBcdkso*C7|rauwN3q!}FE`igx0vYJqBmTQE& zVIe~Vhn61N=$U6%3tq2A9aW~RnWa0xN%h@#wX!5XLR>7$6+>3J?Hy7rk;@Bb5?1n` z_IX8_{=^}agGqg%azRVU9>eX2V}q`E7-Y>)x7Sm^5X9uGbCnz4^m&zk9t4Mdia@t? z1RFLZQmcdUDyxBGoydT;kX{Wyu%=VG(hc=(u9sY)DzTet0~e;fouDE!3xPtk?}zqj`C2zh>$Cbj5g5u30FoeUHE} zwiZfAW1(};g^#MtUMi=DPFP|+YBG9dd@DVME06&N1*OE^kR_8&<%OP*_54jX_A;<*Gj{&Wnj__=^k{q$)i zKM%5A<-3Mds<`j^ik%QX5FDxcmN@5U(OP6bKJy+m>()pb)_*VCL~q-HGn%1Pp*ox- zAaOt-Zkj`dY&)GIi>KTlE_KH4VFx|HCgrnthJ}p`J(l4^l@?L8tb45?H;4Q;_6Ssj zE%6SkuE$95!b@peG4ge*U0-#0H`F%f1ojyQ>$<$JT4|z6F2vmqh4}1p8N zGnIz5@iN4IDW3O{(!jr-cSkm(0U_HmoYWi(}J8u7?0e~SbO=^#0WOF+6^AsrGVqO z$)O@x5)YO%(}(KrE8#`o>ryej2hp&WA%IWJMefn*aD{h$u~FO9D)JhRB#qG=JBJq> z0w>KwpGF^ch%>LPO6^~u6mFbNCEBhyq#UlGL;s2kz|cCq8mQkRWUR~6;By}-cZPC? zJtA~)@!pE04!p^EyYhx2A?#7rxy!V`bw$e|(&;3~;sGFp9`2GI-y#R3S5AMerlQj2 z8O|I23%P1rGYopkiS_hv3u47H0^Juosw=^DImGjo?5ZYDz@yhHtCq$k>(`rS3gKdq z-cjFV)zz_O0+p+QC?hu7zOT1pH-+0a^T=q|aa1OZp^n^DaO$Mflkv=O%1E_a<++W` zS={X=9{Adi+~!xA@?njoiG}tI=O^qp!?WViS6 zU_5f_Blzq=DB`0b_Ft!pTX@Dd8(WT7yjln=qmQ!pH*C>g^au3L2bz0cGgg@24S`Dz zeNmxq_Hp$wX0mj!gzyEeFWCziPvUqUJXIncC-`K)pHnE|r zons%H;^i<)ah0Bkfa$ErAp2J#9r7LR@%VT_pE>72 z4tB~n4$T+6>6j$bbkx?nqPS#EN%6q#)9vL)l`X^PJ6O{#k;Wcy+Araj_VdJ-$14I< zt!PujFDvRdJDzr;?E}4k3A~L~{2|WN5KMKZ=oFx#{yj$%+I;&Lx~SChs*Pms74m4U zO{J&lIH!@q50V3;=5y7=t0u{+?DS1lO;!3PQ4KR&P&icS753xFX&x9MwwpALoiB>F zNEH$r51e>~P!6z2R;yo|Zy`2>v^c$d*M2^TEiuMt1Pw7x^=}hQZuOn7U1*psu~yV~ zUY@$mNg#s@>YQIzy2g)BO=9c!9o|%1rxW7SCSug+wc{#|D>YIXh0U20yIwFmf$PGZIgnRS6Sg38j3cJC zrP!`^OwRGsGY$d&xdA@*?lF~2zdk{`^$`-F&@{+m-rH#Fh7j6|leAG4W#fcKTzzCf zRRb}tayzM zEk4^w4p|TDbnQH==1e69o8`6_5+5Fbj9-3G#t>I|+TIdxtV%1~wWpzGG6@xNAPhF> zEUjK|)&UkpnGRZ@tV5ywb`Hy=T&ZNxOP?0;+etAVLuKA_mtDr(Ow}RzMLf_sk%<!i+%86vE|I8DefEhEH-G^W|CVCh@|?WeD@scBP+{L3E zs@aIikaeOvJm7R_C-idZ?uN+o6sFuZ8X50Jd#qC4&6 zG@v3uJS4-rxduw=D-K<1Cq<4bZ$OFe*3@?D$dRzk+|X6T(k5k}O$FW_4X1oN=|q$Y zqNLK;jWX&M;2VZklwujR)AOJhyI(F(m+=k1?x%uagONe-hEv`Q9p2C~t+~p8@%k*w z61(~!lu?7Z&5-z$hK;uOtFIg+cw-c&QUa_i$Dnjtys?sN7pt(ry>D7mH?k1#zlp9k z`e<(AdM!y|-9k_XC@SQB zWmWsUNl;(5_k{Rp4!OFw7QwJ@;*BBB@9QJ47nj6%pvjq_J!cNJQd>?@ZVnZVRog9r z0K)F|_;|r~D&pBj6THO?FM(y+cE{L*Gf;udfJJ6)5NlCj`T_3;K{2k$SXI7FsvzY$OSdGsyV zNVc8NVKH8Ipqg!oR=6|G7daC2nPaxTZ?=8}XX=V5U!j(S&btYOt)ThZuq7yrZK=F7 zO$Uhn*cfTb4^(CQ{!;;S3S_CB-Tv5?bGy0=)OxcjVU1(pmb`qOD@(WMp zt2oh@K*WiSZ~D9uhV&UZX}WaU>_>zsiHXgtT=fYJbx(&f2wb0DTO!|Aos;)W5DiPc zORgiH3Y|W^Ua@OW2I%m&sq0hKFzVEC;;VBlim-;YpD&j`I+0;yW%r`8d5TMCwCZf0 zkZ{Iw)1ELN!pD}aU&3m!mOoTATNLAcEs2BnVT`b?NA_XkTPuvgE<+#z26~j#I#V_! z7^sp?>`$@-`QSpJdu-;}mq&q64Mnd{IMdEV_4)Sg^KcHgbuQ_C%_W(fn-ol9JNF=| zeOAgy5%Tp-xrBAu8I|>y90Fs!a_+gG-&FWhk{$Y5&u>0CJx1xydtrP!sQou(C!^| z{d2DSE`3RiSy?CA`jmfOOK?d(A8bnH#8>4F3Z4g&fK4sAk{#yPU{D-P3!BIKmQ8OY z*Fvhv-_=_VC znljvqxk3k>HB}jPQ`2u_R2M&6jMG=tBu7@{i3PTGMWG9kI!O5D;&_1X9+ zG}tpiu!({ioBGgX?>fA44yZfTs(tiPV=B2)_#yxe6BJEVpM+%H8q0v5{niGt=64wH z^nI}RgJrxK(qUCvtg9DhU*nUAL%$h6E7T&|ksaigf_?@RDly9Bs(pt~jfAd_7FxVY zQKYVL$?We$D3=v`uFdwcqwF@O(_~|ctroxg;*Z3uZ-}Y^eXmd5GI_DU19H_v6alnx zrXt1laOz8Ihmo2VbGvojf#T{PM%5)XK+z?CG|1Le2|!6WR#WV*EQt=ZlL`Xh#1}VQ zpQ_jkKXUJ$mm7T0axM}Px-vLc1m*=4R3w8>IBm9UbcHJ!Ev@6y9#Y*7WEGzTI8%y8; z)bL|D51y*&Y@5l|*Cq890=*1!0QWO-#pqQRm_c{XE#`U%RBnl^#)bg z)BEq9!IDJXph(%8&g>D_QJ}8G@wYz(Do0-k?UILm*}bk$SKQt;=4ON~gOmO12}5*C zdo=@*7E6cF6QkN8`7)Ri-M0)%+c~V^}Z@-YwEO}pu?j=w*yCb6up+_ z&ih~mSFI@C8l%cSn5ug%$@>V3$yQDY)GMs3`wBGj$grmy#JpLX9Ob;xF}Om}H|#`aG7e8*UZ%v*1H1(D=^u!9vq z*ZZgpTUf#0YYzM zkYO`EM09fqzSq7Vb}6%A^KpA?NsNkrQ=+9_`WN}(%1qc8j7TR4!%kYinEb3z)SiAn zeck4zB7935>haY7MQn*NGV82}9#Wh2!$mM@$w~~f`Od({sq5=P!?|`U7m&r*enfhW zt5R`oHj~%EdLcml=${VFdOzr+cgVv5$%j9V8gx^OuP9d{W}uCfbjj)n*4xzjBjx>G z{#oNL)%kG)26l^!f6X({KwUBAMf0LfGajvwrWl#GOI{BaZ6qHVR)WYB9#RQiUJw$8 zS%Q9j+S9}ksz@+DR3J2sS)JQ17N3yEF&JA}*O>zpJ3h6p&UEmiCFZcBn}|{LKaOq~qxM)KEtF zP{m)0>EP#iBi;HO%b2q{bi=u$eVFGGK#Mz-7be>Q=0ndq9Em@?)3m^s-txkLu6YDa ztcf>HS}rLG6R6BEXWUQmh21u?U-^*-9*$O{2SVx`b?{?+l~^D=2S&4+wsMi8R~i;m zoTh9aG+L?z>!quadt5PIAx`9{8F1Ob0zc<3p6O@}mk1J?V}5;*y4gIukTF)?Kd7>C z1X*)$>02kely!f=NQPO>3ZTxZ(S^`s2D|TFU6nf96A;?3J{)W-zu4}0>71Bl$;^2E zN*}p?EM#NUvGvYIVac-VxO1!dd1QL!g);@YR{xiNMn`eE4!~#lDez-^Z?>S%xVJ_hpPmYYF+<}ap8Fs=qh9D7eiFQ7da`D_?PbRDGvrOvpnrY zk8q7&{nd2}Ra@>pP8v!lpH;e_Ri;i(N4V_Vp;@rc7^g8r8lT zd~`svcF7dlQWUb9=9b3u;NfPEGS$}v?!3(XD}}Dz^SXNTr=FX3QKlf?hv+>8tq+bt zTCYwm2zFSG(|(y(sy$dhi=D2g5A(zrC{z+9GDGRG%O~G(%&mX#JZgpuyR&L{rs?I{@w@IF*OKWVv9exETJtJC&adC1R?oaNh2b+fma>`&aB zjT>joY7~wi#nzXOScyfXPkk+~I5G)-A-4J%VmD-7M_IoeN3^9&7^57FKYUb7zN#Cw>O%_Sw7GuQ z7NnKY^qrd=GFRgd2OywF0MpoZha9Uw%38Y-_$%fpM=kfZ2@ zxcO?j7*O=nwQoNZN84yFAp;W{Nq6($ zDH>|>EjRXAlstUPM=79*SI204#O>D^8qA?;-U|orz>32fJO}pV_K%HhEV}Ac&esG{ zEo7_ijy{c78odZ3)4x__8LOi9?>gi{*O&3K9WxqLs)WxbXTs?l-?ilA+{b)2COtAV zyas9Q4=v^~dPnurCwYQ^P}+C8Cte@fkC{sB1Vk%PtFmCcQrv9mPfc|GvnEJxs9KD5 zMSH>47Ws@**w|rrHc##$$ATP!NOluM3AXFIq$zaa1vq6RCgQ*;zztnDyovd8<7*-5 z5P8ERMuewk)2j1IlX1lA~5C{_KhS)f$2aWI1tR z#J8jO>#*I)1&fbtf<`d+UY`|zIA7AxS3<|g7X~x6le;x+@#4%>wU(0c<7bI?cwl6O zyKA3xsgSy|jb|49IJ*kbGy7T}jq)}OdjEVfD@pq?T3N{((72$sG1>z)-U{*%?w#{D zMo_=-HXL*zwpAoM%sVhtJ*vI>80VEXD^XXyu`>MGPCPKrp^q(jMETJxZ^`Wq5fOY3 zGr)|Y*^ILGH}%)=V3S zy*wdtte~^9VPH9bl6{{rg%Dw^T3s?}{zFb|PJ{hk{|q~gQFt3CbyDlIPnr%g%-^{s z)n*J?y7)@Sqh`M*3ym775;m>$gQ9Fd?~5;npKq~05q0YGyIf)YtVy0BSG30djWSY5 zOK*Rlg#B5zUQHy&m8q#%NIpfxE;zG?)+|sg{tI(r_a)V>%~b)?(C)>#v zBbs4!?FcX07*HkTW}aMAOR(K2VD9)}1TM#tM<9Va!1(0mE2fA{C0zvm{TiYbRV@Nb z>KA_~%D0wd`~^o%+rDYgCUY&3`EH>&2+JPW_0K6ckh|DKVDLdrttdSTo8t%S=3iJI z{yVq+{XVcXbmE8YzdQi5-zwc%Jbro|M@0NT&XsrnCU^nZt8uo;JmeF^EAOz!vY#1j zvA`f>4pcJogOC5ZAV8bR^7CL_{ zA4hj6_wEMRKNJVjEWd{2_!tg|EdkIdx`0Iz=bO^y&Nrw8-n@FYgG!FgMJo9O{JLO# z*4M-7*(_|;pVCRC%rlvnOCjdS$|0r*alPS0!r0t?mo$+6aJWkU+AFLe^hhUbjIuzr zI1*7a)T144J{kF)dIQcsrFHZ~#YZ;FlNF8~t;+aerc~|rZ6sgppXm`fqi2*cA$8g|-sK2%NEmjQmpd1vt%o#kpY5`Xl@Se8KfVw8 z7i3-m~%0W59{_r4o5Pg*Q?aekhVeD(KFM=VSv9n&r+#+>Km%U!d2hSzWAZCveK7{}Yv z*R{lTZ>WP?ugr*1uvWCQPoUQMyf5*(1;V3YzmCRS?1CM=>221=8XdQnUAE18lt)-& zMH8CguQBA>xc*`tVyr)#R#35j>-j+(r(p7m_sRtY_9qFkccGRFg}r_GiYo@889uQ0 z8cd&YH$a}Wcqh|;D;hne*fja#*Nx-yc-6LVg0-f}MKNkgaxzD>Tj6=p-A1iS5;7w3 z-S*&2_fXTLOQ;WL{fZAu#Y<}-&uMkuYQa_nJ$q&{_zdL5J0_w~#GA-#?s;T}{-74V zPe$d;)p!ys>de`jdtpg0ri1Z40~cSugR|**yjIK^>l<8m>-?y~|M)<}gdhIfF;_`r z9)-+jN;eCwlh>Sl++VFtr=AVg_*H&6oh_%3$vt;Ro;%>c-%#5QOlPkQT}nBtSKY&2 zz@KVP{hF4Wd?d5{`mLmH(39%m6&X`wX>lPr_^ZvPuL<96r;CEztn~8c+ypIyzNY3J z4R@Ua|22U2oa zd;tEYDZn|^<~L03#Oo>&wCAqin!oEACMP9Eq?+7j}*-q5vQ9^YKZXf511zt zzca)Sn(O{D{JM=tcVwr)^?NnT)sY+%UPtZ$-(s-RreHsW*g3HI7hUHISpn3{J4L}! zuQRV?5-pE2(HIc(bBaFF1K4#QCU9Muih~SKQ|dy2?PF8r0rqdPP!08~Da26$V-+ZY z{9PS+b!w>jSTEH=2%z1#O^E>O6l1+5mjTIt8`_}~ShU7~~cCp-mtD&KX-Q^t1MBZ@UHsjA3t&jeaOZrjp*D3LB7TRB?#OK|w zXaogFn;^UMySbsa3=Uy^$#>h(dyOIQiY?NT^)1h)aq>uczK=U+5LfDHhvJS@<=|U| zNRd^aWJ_6r04ewHNSVx;*YTQoGhQ&T&E_h}KgcN8@uK3e!43O#Ho~uUu0|ghqv>K$ z=OjXDpJrSB>|l?%i_cK!J4Mt7iu-8fp&Btd;y&fZ^>Eo9R^rF%x2W?(2jx=wM@+Gq z$ns^{73VailWYU0uRgB#fO4;EnOa_DUlKk!r(UeLIzoRuG_=0>RVaQ>oA$R*rI}Mv zN0=IJ*N@y0?*K~XRnnvN18@bwAo%W18sX&Z?Fam+t&ZUtOHx;SXHR#%s|TgrJ{nP2 z>M2wQzxKa@HCK0=TW!eSK|klsF3(N_3{mf z+AWmdb%FvYJ9;1S)|$^r2ia-0GFFf42mk*_=XDIB&bZ`ULD$+#d>*I2p%8p5BT}RN z24%+L-=VG!2$Cz7_U|*a%+Z6Cx%fKs&LOppEbe3_{7Sioq=4=SXyc-O@|>PssVd!S zsN?DhzKtv;BV|5P8FyZZ&ybj=@Z`1eL%E^NzobQ&-w$DhVHF;i@rKKpCk`OcbX%os zMgsyfbU*6@-^7oz(R@uQsWTKut@Haxd-p7-i0sPRrTrR`|Eyuv;FV$NjoFqrJ^NFg zW<3xnOdt2KfJWeD1*27QKL3~^6s8Cs5G11bK$Qdf#-dl?zCkpKgIC4O$@n0$(_K2H zcxpgq+556UGyy?e=HX8=qqq+{A_YB{2V#qKUV#PRtes7FPfunY{h+$iG&_MI>1Uch zmSX3zURd}>dW^Rg5XH{sX9(wG{#USQ+k+PBN-fGvrw7#=hvqZjQZV-gzUQ>46DvVV zWiFQ$vrm0YE{z`D=cL&HgL~FTKAXH{uVf#n_^92M{y^C%Wq4utzk_7`VM^2)-!`kFmdQ1Jqji9EL?!eng0e* z4nR<}R1n~Qg7`vzp_{ruwFg9qMjKiJsJY$|UX`;Jr zokt)Ye%N(q5S3eZTlfq3f87Ht7iG?qq|=6$3GW4xiNy0NR|>b1x#@Q9+J26W%5DB1 z&+X6@{A0#$OPCdpARc$nA~%jmti1U1ttVQ>o@b{b7Xz4tBQ+zeo~*;*A{@MiNX^{# z3rwvNZ(PI!$&0C1KwYt*x6&4{=!$KP0~)IM)5A`y$F|3yPj z{!K&aoZer{01dfsw-(V_6rkbQR=+6~5LDt7p94@uS_EuBaiuTZ~z&-Y>+@n=Dhc)r=Kn_144M%nG|Aw%LjmTSZQ zw%lP0J#k+16xo8WD`kPAHqhhdEW18xIsgVZ~;&!0q{P39S%rDe-FxZ$7cso~FY#^zy;;sSwK1{y%X3bqfG zgsmYO76@!PuFU6e)cDLK!t_0^9=5co_kB98dv9OtBESUWDT><@*Ew>A6# z1t&j?3aCqx(Z6EK*8-|mhI`CE3yMX_pr^0LLAC}JCx99EcFQ@|M42WkUVWx_o*gBV z<)?&qBXx);!IAP8+l%P$G8TdP2@{7nk*hntaRMp_5G$Q=*CZLZ)x{C_ojdMq5vGI- zB>Z7yE-xYrDx~!uEryaoIbt&*TOtY*-mjVH0azS@Dt^+JdW;iccpu?P+Yxj-SWZEO z0m5fy-3R(oQ{V2m$Q($m{_~EL7wFj?M9J{cl{PA&E-n@nP*`ZT`>dhT#C8wc>U+xq zk_F`fD7@&V`MD!fU3cK<8uD%F5T&T;4OHk>4GxkE%~9Ne&ks}({CT9a8GtZzUuH!w zA<)68IN?HQjtDjtQqKtisqV>wm^~lSB0Q# z3An-8E}GInJNS>*MOS}bwA#P%eAt)z zFqwLVMrAMV=$@8J+IGLUJ|%5v_-MZp z=j905HhU$9YbZo2JMWpR^|#eBw?7;e)UIm=5vq0KU>3NO1$^mrMxCEBXo|^#`G3c2T80 zkmb1KA;3P3yCt792Nf6aCSY$^UkL?x(J99%kQ<_;t}ivIuTDru?Dx}efTsh1rw_}u z0Jk#%BN{H%oxRfZ_>A7RIx?*Zw+9nI$^utzVXE8^tOGX$@%Uo>6K|;0Y;%ZU2gT%6 z|HS=^K*7$8l=c)N7*hlsvpR7YhyF%WEH$5woOKzh26_HIFfawiykQ>DdjG~K`_O~w zuUR}We{N86W=L*o*0gLzTJ;upoY zsHx@8uivL+gk0jXcv4{!u^t!56c%{>#B1W(#jk_9K%k3RvgXF;?e?RHD?PCgSa)~d zi8N!$r4Q}^3dj1)_89pIB^W}^(PoP*ybJv0zCA!{o8Lo)idOuVHIFh!Fa*x%K-h1e zQ^c5x+abl6l>pN$bda(NCxUgdYcS$lYP>no2PGpx-|>B*P}t3m%>lsE@wwkwAtlcC z9Q2W{)Ym1r``I#lQ|mDM_$WSWJTwE>Ks82;H$gT5UjCh+KlcjpKNs$1h#iZ+m5@r76R~2 z802z|s<{pT-o@*+scRwUr7yvdXdz~4wk>@Th_v@Ug4Kj8a_jSL_SAoY!6K}09zat5 ztEx6H@J8SM1|VlAN>Th<0Jq#m{7+VH4g8G~K@B^y6S5^=0l;-&qN1{Dz6AiFV8h+a zga9Bt5hR|@OyH@#sjPQ6(||M8^?_u)CF-We_7MPtq)R}gn$PGfLkbI*k--ALQ~F!! z77!`#^JR(K6Lt7N@~c0Is0B&g_5ltZ1SbG`p3PyIS4Of3u)f30=5{*{*kFAp0XcrU zZU&rdI{&v_K|6MRLyiP^wGne}@*d93B=&AU22_G3RDjhu$VL|KX`kx3Ffr$0?_r>k zV0s>fJ5dR*bjDgG*R-ez(Q?1?PnN!=hh#!?hTg~072d+pomT-KHbWRxv;kZ6K{){rln@ly3p%Q{?F$`2bxqZS9z znMCv7Vl~(Gm|Hwaiipc8_QNK5;$eRZG$;E4QBsVw>9?Ll&%tl=ic){dL^y#-($pCN zd2fV}L0?MRxjiYS=w$v|_gAC@h2;pY1O%#=YosDx{NAR*FBqp!(=&4T7sbT8<^a>qx@ML& z&ia+ax`dKljwJE9Sd1!(5vs;VsRpwe@lI|bE8-5< zfqsPv*FdZW7DuM^(pN#kz&C}nSw;xtv9Jj=q>WmZ_(#dj=Q4*T?-cp(u>A<+H1W#( zSLxY5R=j{82y{AGSJeE+1%NDkwMA3>+IbKJgaod_k(L*K_b@N-6s09MR?Ww6d+r`s zbM~3aIoZ1-D}NjAW0Vc;ujU7le-e63$^_r3qUcN+9$u#^%{FS!$!)fPxe_G!*{iN4 zDL+x4iE4Q@JeCuve3^40?W;)ETg|>+e<-La3~Rc-s|g2cpJrdnYyzyE^1E+x>Uw2! zc%p*W=64$Adg(dhbLQ`024GK|{o|!H{-;KXgKKjaQNWLV1h)n8NAjjj@}1Hj)rQn% zGy`~%2r{-$`fYvje2%^=Q@1VtIKGvsxd1k^Ee|R0u;YcVA>!pV36syAQ!|TW|KLUl z!+`zciJ>+>qm%umDkdKjK*rW-e<;TIE9iIOOiLX2|E7>@q@@kfXizGAj(fZ_rvheU zPN~rQ1%6X^d#i0p1}`yxG%zmTttlR3WYHw&1Al*G+xdXOz6|KIH0hR{1EN>-PxZ$& z@rHA>NGaK}_;)bNGHZEy4gug7J=*|wJ?iEkBmL=v2Vf1s zY1=&~2)^?G0Im7V34K8P?0w^6IU z{68uC0*e-)2?qIl|B>LW`Jp4b2wd0xV}0X~TuAvd=>)pcxZfKZU@h`L*F65nNTYvF zPyx@>`sYAY8LuhCoM-P03wBmNz-lGx`A*JZ{XJ*}K)sRkpDUgJoXk?^jf4L$#DH<^ z*Y5uu+wv^@`xF*4UGl87IhJKb@RKbtvNNsz?<6kAA}ppoil#5y&#v~+;t z;F2ry%lX>TJzAfcof7~%ErHqr^p|5D=3`i|+KFe+qPIn*^1VjH8RW zCu@IAOdKBN(E9uVIPqW1x}iFAvVTUb9^n;?*@#$eK0sHlM4+ENV|MR1zkvk!rz8QU z{+RF&0lWNh2Os9NTl`01Z$g24svN}b!^xCzwg}41}$t3<0frXCSR5WrP~J(?=JTS)Z6i< zM*8*#GH4Ef(p>g(tV#p?03lU!9iT!1{V?WSYU=b#F@w?CYp2$#PuIJOb!cjhYyfEf z^!Oy~*XfAf#i+!wxn2M> z;~ld(z*d~Efs|REFh^#N|BOEU{hP#Cm6F$nX8z8l;OGDhWlUr$gTH-c5s zUL{06t4e0ZpEe#{Mr#+#94?ztbHQy=@G=llOvpk#;XG8xAwM7N23=nb-o&he8YBa& zR!%OwRD;=!AL8NvIVQZFl*wBu{egmbS=m+Lt$5DwPe;tns6mE?c7~k;X=fp_T1CuB z5Ma_%@lWXSGAWI@`O^G=I?@wBG5>@LKw>w5FXqoq|A!M=DYS1ej^FF%!(2Ob5%@_f Oi>|hjRc|m3kV1ZCJvjZ+(^i(tTgt$6*`rLQ7XLx+y@sX$KBYV%wlAVEfL_|W;gEXVB553#6THavRhkeV9w z%$>V-<0)!GDV#^`kem~LEIvFZBi>?S_6L%>lef6A^=K65Z$z|ebE2h=ZyH&T`iw7V z^jd|ETq~Z81{6E5|Dr0X^= zgQko-^j4ex%h?!`)o}&Y#u&~0t1LIS9}QMKg{l~3UO5z$seClsf94P~%gxi%yu`dM z8&AVMBFT{X(B!F6*r_1ir;TOdeA(ZYP;z$7oYJXIby%<9(nXVp*9$0-OGKKZ`VjmX6f#zp^Y$oE#u$1 z`d2O!<(PbrNd#lP70>V*s~v%Gx;Q?N%2GOGl0^%=Uh)X1=W6dS*+^EJ(!t7-Of&R{ zO%7f8Hfem!w5t>HR`4?*{0-Di&xe=G+39`bWb5E|{lPv6L%6rqOA)yiZ z(?mxt|I7MY54PKf$@ZDi4{jMC&u$I(^v>HJ!KydLq=*uyrJq=5POJv5dl=QFDev7K?Y zk0aK2&bp5WQ7aye(vqDDv4&Yq!WMg1xZjbv?VDa-6suc)CXpztBNK@D5k4L~xtT+_ zHQis1jiU6?l04^K?2~pFzN(sVZ<#pe%esFlWbesTcFHn_nukCN5G5zK`aX3wxKkMQ2q5YY;kmJuZ%7Gy)eO-R z*dKWy^!q%0OPeGkTt_-r+&UIIXQGaEuk~K2(@SZ%ATh1EI!(sqSept%oaFv44Q*Jg znX5a&$~5LZvO1H>H%AR{SbTrXwe`J(gh#sXj}x7>h{w=bLJGUrhJ8KllXajYt(486Uh;eH%PJd- zq9F*<8datxc0$_RX3nvPVlzfoYFkGjZ^;%giJuMJV>9-@{-$=9Hb+(5oLnEPH>q?w z&-lh_?zxWmP4;htPxBwsW-);A;VCtq7lUVWLOSIP3Y46G_zyL-nE|+@$ha>0nc$QI ztXncv_?PfD{NW^^Zxs!wmy8u6ventE2XaFj(am0ekF5y~Krd;e0gh5y0D%h;Y zt%b0TOEzA~P?ZXS%fylKl^z_#lFM240@tV4iEcB>&E?p5ld+eK9BKX}tekiIg_56H zCph>B&$C-g3CG28i=dF6>d(Zc}ET@;WL}Y7uzmDxb-PTb~Ww%@f}0e>gtkM&*#dUSt0F~0R9kLj#q&e4En zz6$d()_Q}@)OX981yh3!H-wEejI@b&GI1W>q*S%T^>fpYY&3^ef zmP>rQnu|(}4`FyIzx!OimFZLU*FjXGF&w;6 zS0giCx{B;Zpc0O+M@F@;ocC<--(4Yy3{pOCxb&(%0b6mO$D>K0ejt8h~TxUOwQmpcC8WE z$YDyW@28iH_EBG}+kK4xG(LPi;j3FSC)wy`5G4a6P%k9sWwu%4-{I=$AAPCq3sCz- zV8>5ih*|j2G!Z4YcwA}YL-BnN>ikM}ZxMdcWq1zNCTY`y(x0}dkOJ#O zhPNNF@kc_mDWQxU{t4D_OpV&iA+Qtdn7u$P32C6{w?04A7SC8;VivuXmMXU5J$)tF z2v?LJ(A;&txlMmfD*WpfcTvzxeNOFqeVzSQs)-H9#@C;xM5_r&>EYfuvD(5rf7A91 zC!k64!K?Qrlq@^~_F|jT6as*(XQ&<6!k-YhH8LK5qiR+$+d3_KlZdndla%Lt;%f=$erZ0q!0|^WNi*SNO22nQ6ibzkI4}NQOe0N<& zR$tevL&vGjC#&q4I1*~((IJ&0?K1q{4LT9-IIPY8(Z{k>1PW-)}|y`5o-#g|FPJjI)H-Ly@re(DpjX6iv5bvNFjhyb8*ZG~`)nvu_6 zTO&_(cdTu1_5>|`KED^ZJ_K7Dg79+CKwdSH&VK21rU{1670Q``gN7ZO^{qBbJd7V1 z#r6V?#EwRL!jYWQIDqX)h$BoKbum{ItFMhlq1F|k?m-^TDJ?rG`baoK`pIk>%P)<1>wlnoTGc6c>Sp^!i%s>y_wq9mIv|rj@On0>X1@R}5z+AxJG6`?T%0G=^lCGA9;}wuxA@ zLWZ<-G6b(HT(+?A%Lf`(pr4Z1crC#tKXZwa=0Zt(48vvSJ z^%sDD^4**kGkw2?d|1Fu8tBxjxQs5e!vS}MGsbGXo*_vVkE%akl5o!29j zPE2u?4QlDJaIy-BxCo_QLnG!tvylhuZ1Jr4y&%81!!3W-!kbSoDQV$|bASD9*co>X zvUWa5o|F$7qg9^IKWYjVd84@TT_aP=E0l?I@}?0EtrnM*P5THG{er>%f{CeGn$7N% zjlS&EUw&BBhhBZTg+$w^p%UAd5;k%6Xy!QR?AI4kPSW+?U*?I{L)UY~#StrV(a{!C zKz3O#KY5jc(g@g@b9Ldl-;haIIB#uC?Qwck=;1Wy9|@|QCojJ>jIeVbY6u=qfITEE z*?1F6mEv6r193$S4J#Q|nWqd^q8$VbzP>WDLWPH7#oa4}K zo!BXz`XMveg6r6}T=OM9e03?&c&Sbz;kXkJzTz4}?_vz%v=6eO06%mt;S2ZkzEjA1 z*4YeEkHobp7S_dQ?;%Mjr-3Bssc9E`_k3OvTop<-;%aX$Ei*#Yyv}!JzkwQE?&%4I zb#mPZ-u+funlU#JJ^0?O?Jg(UDPuk5bLfRF&B?_Ys^O69C~@J<;*ln8V@v_<$}r_QTTk-(?%og7Ej~w7rl!}+ z*CI$$>A8)Vua8p}Rp0}K3mr+TEA>=D^}4y=eWN9Dq?@d;hh1)aLSAZ4tk9OjrmZSc zKyP>to>*;J*=<$hxndnu0Th+eC_k0=sr4jWo?R|&4~Nb<41E(HqV7YXO^L}-Nfp_) z%`F|t3PGzK%&qByww?U2tu4EeUcl=eN~QWR;SQJ3{F|eV?(B9MBd38t4r3bf}8g zl~8QFGKU_HF}F8!{%@`Tw-;q$;zqcU3Mawnp4l9ogmvz!JN|*6^+XD53qBS2P+bB$ z&*WUHdf5GA7PI6yLOqsbYm+8TWM)iRUp*!I1%gq-7MbA}JA7JUZ-KVyh=%9|37=@^ z&vr}v(a5&3grLbD1r4DXlJ26-4xba@UNO)bX23;i?W#vUWSx(wfD_5q{ZZ3 zQ?CN*Gl-v#Y4jpHtFeyf3IUILv{C0YP+00^oX~b>O<{&ZCOO#lYaNdzLE3f9k}12_ z9aRPuGRj7INF%z7r-ERqZYu><>jgG=s?Z~hY%mWVfmv-B!>>>vyXX&Q2k012ZD^uhl^0$Kc>FZMw3!$DKQrj zyJ}u8w>3WBEik}kfMSu*h_kjWW!;Wth7D{v;L`7!6wEXf+c2H%L{QwCAFb8lMpr_l zyTDT%DU@P47r4kyJOu2tMScR+`Fr}&wlZj7k~UXVJ1;(z0%ASe;T-@kvcVwAdrOC6 zm)lKOXJbeAYJr}Do;BswgMaSC?~Sh)oBS!gu+zpk0)mAYRistyQh|fXW%`LQ9DomM z495v1;!PIvkQblx6UD16@ZGS~ zpbu`%=#4CHG0+Ho8-m|8oIN|^6RX>LK(*cW{V{}2tqwDfPbRJ#tfhNWuPX2ol~SrHp0+7{&%GV383WQ z0^J>s(Sm~DEQ_Y8{C2}V^CaMePO=e3o|?*gKqILLrU#bFcuY4u8kM#}RObg9Y;t^@ z%BKyqrnU)Bmm8(OPAi-H5h3Q?Bs`y39bm^%Uw0=Yq`*SSYYKICdhxvFjUwxM*BURR ze(-SRg4_5eVO!*4kjxP7b$~~vWfSShU9PxKuym8NGKp(AcY@a@;%9FYWf+3S#aQ4lCHhYGQ$==R` zxaLu+g0%12+1cmw7__4{arR^%XkiByFcPjE&fjtb2yW^pSlYE{0=|0z7$;~|WCri; zD)_I(*pIV=Jk7JzZEb7U^NJd39D8%c3wM!3LPdsq*E_WYOQRwJ7E@TWAYFkpMm!#{ zs(|;!jQhh70nj9AB^j<0xUckxa0RUsLemjs zRE5qs&1zsqV7zPLsaV4{*x=Z^qsJ8y7|L3T^{tJ>L3c96Uou7vPa|c-FVuN-?zH*M z?BI+7F#W)xt{bD3$2XHE$jar|-!x5qbqgZlpc42*;zGFe7#$%=x?6=KqMKxWfJ9IIkH_^^YgzU;8X6mlY!@o{$)UQuR_5=B+& z{XSrzpkP0d8fl{{hrUt3;NUe9-rD374|J5PuAXgS`xA!B){_w1J$n(33a5mX)*_n= z+=z9Qjip=2d zciYBy0{`xNalT@HZLQBN%b_EWnCp}tzG`3WT{U*9zX69i41FdFVOQ`d#(VlNH*g)9 z9>r+%x&`O0@7859I9tIzH9P{Br_Yhpr+E1@Q1d4rNe_6v?b0qn&pe2gBTm0<%%95PN;=ira)p>O zzIM_92aO=4s8?sZ%hGGJ>K#0LC2bk0LOXgJ{!(Ni zn3`&_m~6O*a*?3il?}08l=>NI-7^bgT3?cv?Ujie8m!pe@|(dPnJu3$@L3!!68x-! zV<|bAWQF7Al3O9KD@-qK{(M1{BRuMJ=&p$bw!N$;*l)BoU71t$C}3o-bhG1lfW0aj zuCSAPgd3k_U>Dx!|BbU__hLl()r$CfO4MKIbK+VQC;ZC6@c*)E{ws$!By2<*yz-|c zY-UR=f9M+8y7;9`+?`y{#IMWMAt5=G*5&OyW#5u9b3r;Pa z7V12*{&j1xwtni~&)OjW4(BYGT?^A}IR)VwzBX4&edXwc*22l`G^wbb4l&F2|B!4l zQ)DhEI$o~Ee8{e)tc`o2tQv`{D4m+*VBXnf4FYjAY?Jb=J4QfgV@#^~UX6;3)T1v~ ziLSn3VfSxDK=({O#Z&wh0(XL#cQTD&3P)oUnB%?K%kdb}T1b(XuOsEpAYL8C-TAWn zj7z%nZ@bNBq!mf?5M}yHBmI(WE*j=*;=^VMSlm^N|at8>oW`2{lJisY1zVd~m zKJ8W)reh<8^6*6>$T7Lke7#>E!zMnMXJ4yf{?)3|IfqLq6w3F2O*{gslTq}uYqivS zwA0b@!yb&~_R3~L@C7qzyKij@O51Be3Q$8k*PSVoYvUlq#`5=0{JU?#M?EGuxOg92 zXy+v|XfW=Bo6U~nyO`sT4-LEUhn5snLsN>^m}dekQe?wGJi4RC9gQY2ZUL|TZd{;` zMVWy2R%f(vhXR4eUfu;Weq&7_1<`lBLS#_%#@UR{@bJF816&6J;^y;MI0H90GK?n< zdSqh|YmM)u`yreCZ$VLF0DVi%f7|Hy0y*N`x<3GS46rB$u6jD-p9S*&vA`DNzraO* z;rL66WFL0%cnom-G=|f)>ng^W*Lxm@ase6`LBWi~7tWA+hpK<ZepyW= zjjA{i*=sD6T9V_iinqwE!WvwC2Bq2J1Ik7MBhaF;1;E4)ao9qm2fg0b0^NG4S?WUU z&Pv1{lORFCXS6`WfE|Jf$e7Z-1np`f#f(z2^^!#vb zpytB`(Q*k>GDMVmzwn;KvFCr%b?ti%aao8Ctwf!vK%eJ`kk$&*j|z@|jPi1c`$>U6 z<60IIH^s&)S|q-2y@*mpYurIUPScpV1~LeF>cf2 z+cBlBW~!#6lu&bhAa7%0Z=<7@lD1Hf{*z}&7o5q$zC~)@#Hoq1!=|4py{Ex0gyc7- z&)Q1jU)={67=DhoYyy!m7D`f$O?ubS@L>a@Th9qC%q)d%id*3tA^FVVeJ2Pb;V;)`uG3*uBR_ogEo&QZ^Nsg;whht4aJ!tw_gBWV80@pAyJxxqGHmpQ2CqyD=}Z zHnfr$I+aDn69|J1Lc7C*Zj!5u#>2`O^HP|1@d~j|DJjaOYE-YVeMRRHS_I#(RH^a2 z@lH_cd{*{HGgjr>m00WQUOFpLdxw1glE{6zeGO2fV%n7Hfw1a|SZ~}CE+@eM)t-Pj z=f{VNS)nH&Yt_%oNG|qnjFm;Gb18RV$)K*@XJe)govo4!F~R!=L2^N-<1oP66o9_@ znX#nSx9bbiSnBXy=ij6&)uX)PJcygSR+oukrfQ4516PEo*rgax`fo;}QfM}Poe=PG5!!9UmNj)*J#8v(0f@Xb6>B6tD1=4`Z z)qh(6M10Q3zeihF))gWR@Al`Juw8epef9j;vd!zi9L|0Q<$F1PR`Z4beOylrTvG>t zv$R8{QCUb~ctiatYyIGsD-d|k@*(v^;3~TwW%o}3ZCpmpZ5)dVFo@*Gz9b#Ss-LdT z2BALdP!=;P;EbaM=~v`CV*fMrHoiy`BdYwvOf~t59P1i4y8E#4-mMrBb$<5bk*_w>ynSUxS4!dDS@W1qYX-!z4?VBoBifwt5XK@Dl}Ku%R;GZ zb+{6ZiE`KKfzAnESRl*sP5;#7gUd%mu!xIc0Z%Z0DF+PB}^vw|EmD-o~-g zu1iHOFci3~*H!vokse7uQ!8yeo-rk{=DwS{F2yrNYF=EN&WvAkZqXR9He zIbbN5IkzM0Pvv39i}zeU@z}(`8)I~EkR)+pMSGJGtcNyKp{_s3w|g|` z<6<5@jO!JlWG+u&FnZlLHkvC`ze2L-FR_N?sjdE>-XoEj|2w{~Jbr99LvCwbVj^qx zW5?!s9`NuJvARj{IYGh{aG##Gl=OVVhMc!TD;mgh+iD=(pK=F4_ZJq zormJd$)RkIm%n`=Kbt$v>yQ~Zl|RDqK@~^@%-F(gT<_SM3A9_I?iIQs42o{pu}LFg zrTBu!AMY8{(E7JtB!+iGm%{17|HdR^hJU8Es)8a>GUZt5adv|5hkxokeN{)qn*s?z z6s}i@NVwM6juOkL2;k-E3)HwZaSRC*Z|Dp(Vw!T*5SCjoWRQ0xpfSxhiUp#D1O6Qf z#QEVOr(awHZbIxSlCzgN9_b3x6T%`HJGQWzPPhWTzq{Vyx)oR z!mTSW5zQRS?wr)a+!WUG652Rs|>Y;JF20g!CwP!UP@&@e`fA)c_r|y$^KYA)-71tf#$13}ND#dp(Ze z9)~BlgjN39+oZkZRPfyE!DJJV28^Be;9< zf-uFPt61v_`o8D05B6ooGKFW?_yZiGd*cVVe(WGY6ExqgLC}wJF$c!y%qalbB9?@b z?L9UpbU;QRXs2SC_ZL$^b8eCVxupl%d7*o23kfZx+>jJJlBb61ddgo&Gewn zjJWy>^)NL_D3-|pqjq5av^gLjo9(|j_a^2cc;WSV`gOX(|11EA#(tpT2p`YBh9Cb! zLvI``e`P-&+bT7bGqoxttpD1lj{5b?UrzlE1z<4^ejssZT~kvM>)jN=dr(%@B;~P8 zM*DjGn|z=^tHnVvvHQ(&TIDd{fPcB8^}rpKniuxnarL0d{e==U_O}K=Dep7l;ynk} zqV%}Dxv_7k&i^u0`@m2OKDB`*&yUy#bf;@d8H?}>5;Rfqzm+KMQ8`^LAe8BW69R}> zDh2lJ!1P@dqbGwcp`EPM(}l`w?=@rC;=TnTj6|CxzD68Odk8og|?KwH6Rl?7&{Oh-~7hn{a2Oz zN6B~jN$t9Mv*i+8mw(et8zx46CT5I%LN@J1m(4Am=+(bASet-neG5)iWiBlfMC`dIEm& z6#=Vu1c4^hpu7cK0Nr0g`KIqe8UT~E!3z&TdIwSb$ViDQeZtdI014M%a|APX-EX;slsHHKT<$@CF~q z0x`*w0;Iq4Zk(fL9X~h{J}{Jw)a?s5-o3u9(GFy@xAa(%{Koh&6IqyQQOpdz3Fy_N zPkEnn!2GrpUTs!_YDenVX_Y&2^7{c{xZ|}5_;tMd2pnxjI-D2k)1(pKD6SLg;puH;tnH7B0?1K<{*}zdrf7e%AIv0mB8ls$Gw#?_MMX@DUFI`85(vnmO+u#@1inb&)xmr%pwS zl4`=22#gK@`XvghTu^R4Gsc5uqOS!a>u;6(M8q*x{THppqcBP0+`*GTIro1WYI;-e zFnXo?iwfz6OfZNECg8Jy-jvVNRl7X)1IK5kphti_}h)WHT z!_y_W^i{m7Vo5y|7G0rzZqwM9Z<=bgu`DUCUgbkG@^xMZvH*06|<2onjy$;02z}vO8A{c=#k}T^jd6r{`tAO)!0g>eWRnrb*yV!ahZ(iTcr!5BOQ@J@aY?WCRwF|vTa zxJ2~}e41YWyd^_Qo_al9{onz1IbtV)dZu@n8vf#}qaV~jZ@vBbGDliKJhao2<3lz; zn0>FNk?kM+_E|Q&uYbBQ=jME91FC%}2Y1yR3Tz{Ch+LVd53`K1uTp)k}` zOOFM;@`IgFdzPQrYoc)jdyo!hUKT{$ujT$nc>vDp`#QFRi_QOV)D4?9!85Ijk2--l z>Fm3B1q;Y|wJ!%q&b=P;jh2q=v#-zh-1w?e97}S{`%O=i2-DUW4WRz~!+k#^O6hXT z_5S7#;{&w-QB}FgFHXRoDp~R$#0(Ih7;S~dz=t!vvM7sF>rdTtvi(V|e+tkOHjr`E zR|;^<^@Cna_y7zbVR*)ehP{A-75vnWB7u$uNapm$_#j^C?d3jk z4i0(yaLEx3+Sm5mQbCdEf4usCJ$KMm{}bLTNe88}aq3qR@qY=3l}sj;{z8!6nbQ*? zFyf*2w{&%Z;yrj8(D&LeI{$h`&k~0T0H!fnSnG5DX(M2gUCn_>_s#x4CJkZ{p2-K# zA^!E;d+h=qS<;IW48XALC1l@^VHfld>OEgCFqZ*sW!>azNh}FhS;dv(JlzO#s~g0)~cH4$NzOOvl+9cU*7f42ufTr30Bl^)zOm^DH-0frkM5 z1c9m9{vPvC=G6KBCJdO-eFx^-K*yjY-vZ3&!vAd(`mF4iBwb)!`adKA+zJOqaQ_si zUzOXmpqxzut?B-@B^$sXseE}UezA(+Zyv|W?>0Aa1(RXD*5{dgZc!Snvk%t z4|LcMf$P1i7~Z2QSp`xUF%1Bbcc+(xU|stFD?4oKS&A z=D>^p<^XQr?zV7#4jt{Lgj;|xGkWXmhDCe;E-s$uzfCnh#ElEP{lUUg1{?u^^z)l$recC5sNicpUI%*T@{3nM zfgP}|PkjeoIL*uJK;Qe<9V7<2w6irvlv9{;a`hbL9?76rr^^VUamS}%E?xMub09nHv z{{_)tyMaS{w>+9f!W*7ia^g4NC`E+<6A8w*Ejl=z-SWrZSpw{Q?%`l@akuzd>z6(& zjP81Wg{aEc0Kg`vVt_Z??^iy$j36w`{Uq+7E zwArSeF_U1jcSBw}?+-(R z&{dtmp0lmkMM_-wcI9Mb*olKk*Z39bzuXA0-nRU|g$_XHR(kC5LoUboj0c(2KQnQh xG|327I1_!cOgX5qZzU)TNKWYN;wD?$9ScJ+-a#~new;y3)-GbrX z2A)v%NqPdmZh2@aKF2EQr(Xx&+_jNWlfl9&izB!&#|GZxxhffWU||up-Td6@a`|cr zJf!iIf90v|V(sZ;?rw$UYHsK3$?I(8$t1}8g!d^wn#nE+3rp*TvYd<##B@6o?-Rvn z(=o?*`qIjI$$0xr0589N;~>PuwF??i`}RB}=j*d@q7Zzx5Q5szww)#v*dL&ZIPxSg zhP!eyuP1a~N>5TuV$;7tY0Y1cT#pnC=NEqa*6_{VuYUgIOrouzLf1bf4`PDar=$R&?u`?ZnlwT@)k8rVM|=!d!UyK zn+1N((ed7m1q(k^8vQ6`f-GSNP1ydfon9CQDp?xyT!7OXeEH(qE^ykm77UU8Nu~~_ zko4qoBA+CMaVqWv&vxEv5KPpKZNfaG^j6#O#6`t4%2c|t*W8oyI({e(K9H;+W0gcx zbKL$cjmrbdjYC)dU=4uEuy!NiPhC&nx5X$fJ<)}q5sjv0Nvx|3TyKGG> zdk^<=kq~2fJ&$#%njAbtY`mAx0L?Ma(MGz3GX?TjE>wk+y1Dp;Q48Hdo$YCJ^P|Y) z+;Z3^?HF>KAK;8e1vVZ}Idt`3JI}Q47C2U}-02&u_u6dOso(6Ra{(Xx7C4!-$r6#8 zQ0C~lek=hIR$Yw7JPD0EX#c)#7t+r|fE zpw}eYzl570SwtvN&K&o#2;yyIg^&2f6rjOpnVDY^!Qc3q2`8Sqh(>@`(Dq3HW*o5^ zn4V7w8XEedN~IMmL5W}#TPO4K2H7gRh7NY%2(?Ho$k3PSXtoRj-u#f!6O$f`{_S)4mFLgY)j6k|6(k5P4{b>Gy*e($`Q4@0rm<-9>^ zzQ1SYz}3oD1+p4*Y~nU)#m5l%tZ)7-b=*Jh>eEIHeBtbT{@U5V$7n1w*(=E^)7Ai% z;n6R;nibSM$YW!R7~U(2I+;DW4rp3Wp1;1jo*P3Ol+;kUk@^Md*zm1)ykqKsGoc^X zJbfNpcNr@lF}^)uE-&BF{eji#yp~_V7mpSGfXDE6x`@lq5K;vw)^jl2gTL|>K~%0@ z^E3CW_xe>B)y0Pz&HEeiJ`cf1_VWaMEvTZ-KKRBpZxx0JPoY*${4n!6=ESG5VhzV- zHJUm*?awBXrksFhay8RuE{6mDX}VyzJ(})P8D)-v#(JSVfu8g?47vzmu&(HGTsZ+Ri ztlO#P#R1i>PA~GFjT1WsRqmX6cEk|U?F>UtjqP(*LwT=uy6#DeuSqO`kgx8cWK=|M zp;8-WdcrB95R6=42gT8^eiol)6wm`=?q|y>jIKX!9jp*SoqumM3pm6OH4jvFMo>7C zA`+{DG?`Hmpvr)T81VVl$)!hB<;@Kbk zXH!HBW&;F_G8T;9JzU6fVyN0_HN%gKr>JKBymk1Fjg~|e?WOEhR=rlUxH_=Clg(yH@3E(viCVdMR4XfA^9xy{4LF`AKe8Nt~c#U_-6CpZXf6w6^R{ z9jpf<%@B^mIlIIMcSbf3?ChxP;40O0U8|d|H%M93vLGPcf|Xjov!oo4x8@c*FEeK> zJonh8!FT1Qw)P!$5mCJGmSfdcgR1d8@u<~-T)<~e4XK@&3YHBS)qsRlZ< z?rMfw{JP=RqSW;=Ur^mfs*Qr6li0hHVOaPj_b$YFeXrf3^aXD1>U#)Du4P-=LU@u8 z2fEVHYcrGK3S(R2jFh^*_y#?X0SR~yjo2~r8ea@jZGMEDX5v`fdx(IT!Yj`{zayd* zbzd4OKpMLrKu!FRxE1So58{3r#H33cYa#0##)cCve{OhHNSTRyEN^gT1?V-oayrqf zgPY0*rS8|O_Sf%Cy*(qo8rB>UU7fu{dUmAGhe20QJ0rY;emBmtVHv(S+KUa{u;WmH zuJshO@XJ2QC8u>?PSPJT;kVqc&&RZ=Hato@+v*%Q3E1A&$xBOjX-+j{1iZkJLw~Dk z-1Pd$?D}Xav6Ro)_Jfz?#Xy=+FJ?IF%F@8%+L%ckd!J1Xn?!Xbgg zKyg<(E~HwVPoHOqo3Q89DvU{~AC(<~AGI3Q%U7c=rc8xAq9l&@kEZ$bL>zv3G9T0) zPP*Hu?JHB^?IXb-sbhJFPDp~NJ9t_xb3<)Y_CP$7-^N~;G`r7)bhtE1omBbE`>(wR zDP}>cZ>#`OmpmVOdv@)gb?58B*U4$!YsZLVj9s?NeDh^-&76v9cGC(n=*na0MU;4h zQ1gb~Q@|lsK3SC1t)$U8*JdjxK2)T4Yj<7saP9;*zZ6M3>lfd3oGfQ2-o5Vcfg>JB z?GHWM?~8G&AJXTlahgu9H*x>P?pY#nGLtCv+UZ5ohlU2i&kV$8)^lKoetPrFUx~$K z79DhMW`PFYt#}+4DL4}%D z25o!-cV#@$zYVOdTs}c&&MrqaA(AA+sWqr>p?S9NL0j#KOd2@;Myhrx>+M~oub2H&RBl6{_HV8O;Y zJ>#=eqOY%HiKLRnh%?JgkC4f@rCIGvk~3h7uvfWV(Pd#oU%V;~1WM-zm#y5Ag-zBs zIrB62Wmo&9Jhz@*XTzFXQ1}}^vV@-G?Z3Ym1aOiO;-_>nX2H)LwR}wv}GN`cb z#6ARF@)z%R>d=VpPiUVX8A&%Y=V{bDRHrzIq33Krn6$AXq!oO&{Lx`rYG#_6@^@A7 z&bj|`0UM~fu!iblcuo4Kc`%C+U}~1`Byphf{xCwi=JOqKF8_|zOsidnRCLCol1*>x_rv+N(bk#|p0|$rg?ny+$-E!7h*s^vQJ9d67xO z`f=Apx7ZTA%PjR20G7j}HAI}L*LGEw31s0*1F@U!F+$b!qvcK3PuC*5(g z(lBE13N@`dDw_ru^HA30NB;JdTHTqo{W9?@I&CXU7>_$IgH2nl|p*42hs`Zd(aTA`7@phDd`_Xh788p5d~5_B8fNYSjdNi(NfZ<_5<6v!63=4?^0LDtor8D{rCuN zyU`tkqm?EN@X`6Zb}3>(F_;{c#%g{T$YVyi`GD~vAHmy_&EOU2v|>FfWweHga~NPs z*jz znj3{ZdXa(06+1y!2W`iD>6r_apNUf&okzB|50mW2<~)c^eu(oJ9yaZ(h!w3J&K$@} zJ<03oo@==bk_`*c*0qYEHYcQcbF_Uv>wzrxKV9%;gBw)ztZn|{F!LByyQ;Cmf`Qyx zVF#s6&1dE(VEz}nL-Ja-MzSHyXcDKLU72QP+frnfhllt9vX`3zK5q_#@HU^m(G|w!gR9SC1`46eb*mt+r4NMa zVE>joSFY`4UE3!xsR7JTwu2eM1M(4acy@BN3mv`i->EvuinE_s8+ss8z$aPRb|B=u3|#lu-m*pK5?;j zW%LmqaT4r{crb?TYHdGw|{Fxi0A`U84ZdhE#*B`4*9$01dx^3eEu@61aeyqy9!5iAC5~TVgwNg)V+I@m_INoTbbUTQ+$xiV z1A_bkqMzSo?j=G>W|)>^|GnO}1)X5+@x_6L)H>Ug$V;`XvR=kriGq{0xFcs#Y2NHo z%*CpSyM*1!h)3zO<0S=-Oy9w}l$nXsLZkGWz`X^ku9vGD9a8IUvSepR!?Cbq-b(E3 zd`$E~iDdC$lIMpm#-IzKapU141A!^OE6jLH-YoHU@K^Zx-s*tuNB$(f%Ej!aUTiAk zfn2-yk{RCM6th6lUC7rW-amV7#QE^XMgF`V+#u)eUmQ~YOCPXL=ERR;#S5M5*O$3V zAdueVazw1yMs8x-)rS7{>NfVZpu+owNV+Mh`O9c>_0!oX)>RL+YahKKUYM{58+H9? zI)mR@!e+^N2grZGjMwSi=>e5JBobnYCle`_-F^^3d4F9l!Ui>Brvj!pTDZ+s;f{Od z8qANEs0r?3@R^w?vjA3vwi|{si0=O+Wd%_S6*_o%Eg!BU`!dc@(KLMOsr$c;T)Yq} z(2pc>tH$?Ez;ki>+X8gLxs)@uedO*Dl0k^5lBUxs;qe)FKAuUDS@a_)Ux4ywkwvTYU)0C57VrtU$4& zr7SeHHujI6>{eY7uhU*LE-_EY`Ir%opu_Q@Jk_l1JOlK?jpl4iq-8SyvaRVzbAh>G zx2y}PE^5Vy!Sv@aehupw-f+fNJ9$he+F&(8Yc-xKz4%M_xKeV84|s4-6PUk2Ia>G1YDsVcK{-JxxY!UK5dC< z{?ttL)l`0dG0x}|N`%7mxV#{sitc$`NOjr0lg)77#AzI45dk2RVQF(cYDm?8qD3`E z%HfCF2+U6_2+h!BBg|*98YJT0nJ4TtHBcP})0PQ{bzXUK)bM8nHuLqUezOE|+W@9O zqy6PwQX7yY50?9O#g^j9dFz&%AF7FE`mXTI2WTsZj0Rn;n)Vq3#rvwumN0Bq0I0X`bOx!@19j2d{1=6OCJ|Shqg_a}Z$P&568wCpAx?oX ztu|~29~0#~^7RAyuZ5;+Tr?!k<^i{QpAXa|FnaGpQ~%4InwHDUaw{uEM(Cl3tTi39 ze7R4w!B%AWJi|kM)@S~b_(FSlUws?2F}rdFvdNhLIMaqIc(&hNK`YX0)m7niejIEu zSGW9u<9Z0=5;Nu5SUfGz_KoA0@ZE?<)738ic5kdol{K8^%9Zt&Gq zdm>f#bl-iH#ovO};xvqTbD-u7$l$x-F*Kh%QD;C|qD(NbHQ8iWI^5V+v6M7sf-_vb z5-~0{m`!WHKMS;Jv<9Ao?MEI>J<9Od|5fSo#1NXze7HoNbPGJw6uHWQ2*oq#`o#RH zWLCgT>OEh&MddwNzt2$W;-d`_s=ZyU2F-I5dmWA95m=B%Fu>_A(g|#tW+`PKmQDv< zUlyCUJ*aUK-~6a;do`U&T&Kx>y>i5G8p#E&(E<)1A_CUob1D#@8kLsk$ z65AFW`kkrBXfj%hCU%-m@ES`=**%Ifi}sse9sMW+?$s+1L+CbmOwFAS47l-WWSn2r z8f0ShGN%fm2w|Vn(>F0&p-jH31#ZNiX|o%_X~H#xjfQ$wJtOM^JKUwU`Cbjn+)^pJ zhW5#UGYerxpyrj%8ilwdUG*F?;<}||B8_;%`@;i1C;BrJ!3$YcdD=vY>R>iXLr;ac zz)BnBah&8-`69t$LFc)KV!=us|F@pa5LTfcPzX5I=i8WR-&E zg`HjGrPJ>H9t`;5TQ2;>sM@)y#aDGRoYkArcgf79w!;+}(xJNR z~cIhc7aj;QN4LmyedKrVs_i#rn(tHDKwnR47l>s&2MgcVV z1ElpLkN*7)THNR90(xN5yUH4|gS0Dtg(CbHdi_9C*ot-rQ0sGR_DjtGwl&7 z;JXd5Yp8M0Rp+q4TTfIr!*9g9)kObpu|9DyT{Z+)LLo62gy6(73wZ|Eu zS&<0`9SIv0PCvf9GS1s{#M}iswV7)=ek9#*!J1<9{r^oa~KwP_iTBJ7=z6MJ?cz=O`Wj!+5%sbl0v&(e$ZJ zs{eGr;TcQeLLME=_;fODxtzH--XBz7KB-adj_Xdm?Z5J+jEFrNGI!ps5`Efkk%9a6 zHggf=UQDsG>!nj^pV_EGPe6J98t3V&TV%q;_l&PvBTFn(;N11If`3|dH}zaEc`o@D zF}w#;V4k+-Ef~)0M$kb z6KV1t$+atgAoJX^V``b_p726u-vKwMG23M^CcOnDwmI6;_t=I`DCh`4km{Z}1M8ot zFDIgak2S?&eB(|8#<<=;1YxPfd`H<$8+d^@>2HpiZ4+d4{aIf+-m~IZZnZf^G@e8^ zw(zh`BFJGhc~kd!giQf9R(QmB)LB+ZBtS*Z^cvwu&TO(JI`Zd&|F3a)6$wIbcDu5ox zlhsh8Z@2U%%PZUFr5bAFv!X1W)M-Y7p40)Z73TF!XENKjSFxm}4wD&C-h!=k$%20IRR-rQIkIGO5}24k_^ zX@AG`^glzQdEx6(Jb%Uf9VY*uVSpY=_ih>uK)2 zh4Jtw5(ma^&*3bqJTiM1zNP`6?q;xDEJkjKwf$~`=7?GR{yPLXkSelz6TCR#5Miht z?MJ#=%!uy$u2B(g0ab?_b^7@J%b8v`=E*fXe zTa_%YIhFNxk8*!4oIharJ2P!#G3a;%PkzSPy$EBU5wf{WD-tk@`K`lRZ?`Dl7^mLh zajQ8q<~CHQ|5Hi6a0|5@`|hg-+s>W5_X?+K%kZgTF8g*y&sXZCYP!pXEo*G&;wcOL zOg7a~n(|c0CEA;PUyw9rGTlAqo--w=w4KlBp^eq%>zc+ZAr;7tMbYwFLh-#i;9n&% zuKacLp%e(ZB&(QJ3I&Zp`z{8QAdL`3Q;QPD&bMf*a}dtn6zB7C?xzt_2rxuS3?zL> z?Mu+8(HEPwZ?lP9Bl<2u^R&E*A1Tm}zu!cQI+&%hKhJD)c(nJ9_)j67V_x6mDYk}n zwT$0Fff!BbVZY8X)bmE9cD-Hx%Ojna(|31CTnIa!jU>7~R@C)7rxyAt(G)~b=-58} z(V6S=+noKifoaF_2YG|dZ4E2G@)$rI#f`~vDdL(aYOT;>RGW@H&*3Gef)GDilS<8U z&HlVmSI%ke5uN0Q;oK4pXZeB2s+S@L-1V9t8hkaFZ3Zh@bB@!Tf+30pYqOR^FWCM447as>Wxej91JfVnMVBx3|It}5CIteYi6+Dc z{08cp)AYwmZ6jT2uGx@~@9CQb{y?^^y7q#WeQ+Ag0QVUJeO} z&0L$+3CLGTm2;rXc5t)Sl(+a;m>uG0%~>dvvxZ8o{BawrUGB7IYJ+!bD3s}`r+%*A zlk1zDA{!h9YiW7!O+w?;MqcH8AN{)q*dt9wZ!m6xk|+8_hRZFiH@9!H$X^%M0%MT= z1U&BpoD?=Tv^EE|DdHzU*f-frjv2m3AX7wO##~g$T*{18C8P#{1!`7&kHs_`$BA!p z$gds98(|XZpIW?Fi%C4;Y4G5L88iA#el3gKPQza@i)5WU*+$i|#vsd`5@@+z+!b`^@x!3{AjfppDF%=JEJR6_VIud#aFMRCp+8(^Yl0&Q` ztJ8!O`j%UFuc0qSkF%b}#x2YbC0Z(}lDzEkld{v}fv#5jLG}&5*pf14BCcZGE3|5z zE*#zKVHu+yq&`&zTSZZ~UUKfASQL7=X+Xc9Y^`%`e-hBv-6*(2)S-jP4sd1ZzcV6b z{3l~d)M|sKZmHeJux6+vTecZXNQb+DiYXHQYgBrELFc;$Yl4`L!%#_ZdgUi%;hRc;Ii@pT&-f zriltb=~)&HnBZ>!X5h182;gqGN0;_@RJQW|MI%+acJyCRltzaySAk2+UU8xt7dm94 zNoiJJOq-b&L{G&5}>xn_#3S5%}UtS?bdz4H@a<&X&s=Dzq2A-@w8p#O0oQ3dyQeAmp7sDb+j`7+v zy^|sjUR6u&@1U8K z>;TGORKbIl0Bb+kK~3cV@^8RkL=>QeANBq#YY-?0smk_I0=Bq2`VH;A%H2BhGk9~bfXB~WUpS+#{F3GFkL=Taggck(mGpzp!mPtT&| z@%e6seXNAgCa-gr;t@nQyl!U(~WAgxn z(^WjaS*d`Rxzk{x0+?CtGY6L_HrqQ$A-Cgfx4XPoBkGs9V3vgv+c97)Q!KRY0_FUb zp@Djom2Z*>$Cz5=T83XPN-v7}7`(h(-m~+HJulHBVC9cBssA*4FK50IDe=LAX&RtvQUOBui;jzWeW#2Jf-_8U1J z_qY&*vNK2NE~{`AJpW$xGt<%s4cl6Juq?gtn8VZl2Ce3zSwUTNJ(4|y`0IqW)1!vybJuue8d;UgS zb|Z9jnjPScBykUx?|ICQAChn3aoJF4{BVt}>5SzB0Y)(A+Z<)PZF&LK_tmwl+<+M$ zZ^xGzxt4E4FOdRnMZg5&F%N~bSA(I_p_{n zaW#9)qsR7^1gcG?QK?T#tJgS1(R-+nkA~$BkU9i%Q4S0m+In(Nm4C9d9{>>x=*vzT zp6?uCOxaP$7@m)N2mR5J30}|sP?;jjp9#)#zg@fhmmat+&ya~riC94`bZ@NdYf(!) zq%-K1(IHT=E8j&Ga3_>KAFRbeMFC^R+=|y8inNhG8CKtHeh#us4d~p6 zu!Mi*&09;Tg5cD2(1gbwP3X#)HcMa#wFQ-fEwfpA~H}P4dtl( znNc-eUth|UoEOe0ysZ1EY+Zxm(ostDB5CFEu2T5M;nAF=VxDE)+I0SFr+RbJWp{7& z>eOJbptFT^4kPJ=?qRDxR0Rbwy?V4Gddl z$3tf8hw;O8P_Q^>*23TP)nl`$>1;C}g|=I<@lmLmt{dlp?ZSee%`W&&V2gHs)S!%Sm%sOJ zWlp+wM@n&;r7q^`=n08ND@AKP(kM&F$|`hF=R1H7mZ3zB%;E1%;+hHu^t~_Rd0vma zoHS~)iX2>G=r>$Yar@fk!B625!@v%rF*M<bnacpj7(RdR0dGZF{2|{13htEo|&cL$%q=+ z&RkDDoOL8G@z$D9;TF$Vh{J|16FfmaBHwf^KeuD}Ws?iLzU#KhQyTjLhl@FGZPga> zh$1qmRy&EH@tCV}KPz`et%)W0ZyJ>yRR|f^w$b$(bHr$ibrT-BHRV=`SsazGWqXlI z&8<&00p1BqcH+S7?}lzx(w22L zz>Xs|U@AO?k3TZANQ!(m6rEexe|aAIHmwWb;NM+Obvl(Z7=Y8(-idDo4TX`|`VR{J~V zr;*cpDxcnu7W8Neb0um{Itn(S$w-?$%WoqP+ld+x&-sbQ^G+Bn$U%^w0R#1z|C6b} z?uPuqP}5SbyIMBx?V(*SDgft}^gN+fdymzw{SrOK;^g71hnlK^DYg`hCba_;}*!Txp!l+CCC69W>g zy3?R`sW;d+Kimhbb_nW!WsP~#*1qFD zi^o5?Kc)OWihJCn>6Vn8(kE(-%v(1QkVJugQK^#14X2Ru5p9_k2PK znZ3^-3Enc299iHX#3NrU5FkOF0TB5Q88xSssMp(ae`sg|8`TQ#Z5Kj1es=G=5BUTXk(-nTQFu=zC3>8A7mW*m)(1@j{Ek;dk?9TRyQiw-LaP$>$H%5dM!;_T z8TcrncCbUIEP6sb=cW8*<{s%t>Pr|f$7e`247Ys|`-Ul3eSUdQ{kt^eR9PFv+2CR@ zC>xg&UCtx-0u6ynFfhYU{zY8K;L`qEya%|%Qg-Z*;Kc=;+}w%mJYoN=Md0}IU*c^l zSm*c{hlx6HNaZCsy=sq7E4SN%gCs6xslDPd-KE<3+Iq3trFpK}qOF?2%!x7pPug)$ zLP3OX*4=Y)a9dHtTpEy6UPh0V=}}+8Z-1&h++HzvnN-DCoDy{uo@re6W@^>`%vwGD zm_g)MI5V84-bJs4c05()XwrZ=e}C-R5uHd@rxg;M-M^Cx1M$&VC6cexbCiz}wJ)+W zqrZCzJDrCmzpFCxE}Cmx^hnoD#|kHC?rNTBwCH=Vz+8X1_V7M#serL?@}19nhOj>z zeU!-X%u!azmn$GB<|XDci!Hi;WJSg~GM@JR8HuO-8n(e>Ae%_Q2yu`z@RN_|%7FDI zRN9>UzA@y!Zn!kqG=U_}nZb}K*=6Qza9ljaaiY$)t^d8*EfOlvcY{vZb@aB5b?}A zXY_>(AP4c7;En!=j#zQ||W zFW1OQe%r2TJRY^GDh+s3;pkJU;eAr+OY=74&pFJQEoA3Wed*dg#B{W?aQ*Vbk~!Uj zB-gk`q02X=kt7<1Lk7SW@btyPlKvF^sr2?YX+0HL7gLs?xYXSWo}*PD4|~V&R9oS2 zFtBKau)GiKu_@Y7b#^n}YsuxSVtl*oPByTlw7`Ys$ll9NqaSbEEk+)lDj$%?O(^KZ z4YXTq$qQ;8BA{A&_xo59-)y2pM@1w zSe=WQxm;S$K0te9if;PE8lLeqU7d}|#Rsssln-Rn#T`p{lJ{7&tO?Nd-opJ(^iv~^ z3~4v)E!JCajGDE|9CP;ZNvP)>Bx(|vU$kB4lGom33=SH!l2qRceXQSawl09tRx6XG)3;Gm}4tMXr1R0V$Yh*~xc0T<|Q$Ra< z`Lp0)2l*B#aOfjMh4~P&K88;d=)T2)hax?VQcP5#ZIfeyZ{XLx{FtQsENkCqLw&OZ z$~DvvRPAnw(bT9^na!o&pD~dBg)!Gc?hyltgHW-CXklV9BfxvdD+2gf+xZ>`L(173 zZ_J>g1~$M(4Wg;y9FjakerbWDgts!vt;e~0y&F&kC9cU1hNIhG42zb+?qA3 zQ^}y%gcuT@DkG{W@BTmzrIkNzh1{lm4&DVyoGX-Dm6mQlb|I;1VYtap3D3)~#Srlo5SMNMTQJ&$mjcDpy@YbS-N&4+NE~ot3s7 zZnJM+3Tfxs*>bl%^R{@r?e{gS`unDU?T2qIb1B~%g^Y#cEWt^s$Q^NFGOx9JtccM2 zUU_t-;~f9rB0Jq*+FM#Ngk%Y_z4!_opn*&T} zR|tQ8X+J*i*n!{%+C^nFII!D3z6{6D;hALXlRQEn?=0W=HCZ2e8u`FCVgT1B0_Kgs zvwoxl4(zof)ql%OoApSZ`2txJY4)HIV z7T$ZQ9PN|L@X+TX)HL!}>Ioz*-$R|jjiV39UUAR_%xZ6-Nd4hYS4{-eICNf2qHRqa zMIy|Nt-`xbb*3YCDEs#xk9+tS8hhzT7$NwW zZ`?qS34=4m#rYz%1&oFp<7@e)2T(;*a6$j}VO20k=oASGMO{uMPZJ3Hj12 zNJm=>o9EFA10%G_W31jpc2D*BhwvLSvRi%?n1y(r5B@h|<+DBiFz!(&G4ZRkOQ0ha zFKTe7y^ChcEhZJvjB$DkE%MSVk&VBe!<-w(^#fEzvcbnuk{-KmdYrO5{PxmMGhAD* zH+GokKIs|-v_Aq}?J9~)U0<%LoJ)nIhhO6o6*Rm!Wr@1REjgLii)b@qM3fT^j=w<^ zd}2a3@>e7eF!GI4kn=~vTGK(^$U-%7`{pTSzCcW;_H}9L!G%Vt8HSodpwrApVI=6S zTFry&@$q+3#Zae?8qYhW5EY=dxTfN8{L5j%mN4B9`CwADiAWsCHXbG}|>UH($*m z6t@#)S~JqbVhP>-zv2D~6Nv_vTSM0?)25}Fy1)fWVso$Ew!^sEmi9V{75^s{|1?Vmwe})ml0Ms_M!sIU)0|f`GPYijt|lY*MlN2K2u?W}F+xfVQSkbvI#8g} zK=ME6#s`$J_*@#NpnZE}p`aq9T^rEBXar#qJ$C!<-%d1#24vGh=c&D#;0Ae~G%xGh znfA7?ie1#j*x3JwVLPo?EDlqEwo-Ik-Hnff;2vSoAgWydxeXD4Z?TCOlhM_NQH*pz zerbcF{i34>4RLQSV<4hdmjM;X$KnjHqQ}H?vG|l_P`*$FC$_IJWoos*o%E+bYp(b9 z8RCH?qPd@Qf`A<5TO-Uh(q;(^_*XyokpxA+`3syisjNrFMF%5cn#9RZ(l+q_v7xPI z6a!&VyX+o9n2Y?*Kj!6XEnR6m;^#_a zyZG`@_6@24xa4y8Log(VWqP!c=qPdfODFJEWZ+04oP*5Wv}XYXoEFCq0X<17MI$DW z5i#cxSa6M`g4N8D$IlP4C>qfwpo_!0?VWoEG; zI_`q++4E3H9sshwkuYOTYqlcs#Cf0o8S7XWvNDBBq}@43n^G~N!CBd6>G zl}8ZIbnbIGm)rb#nKaF-=uqLcwI&rI;rm4(Dve4;$8lE)-ME*B?Jirqr~q}|8&hN` zwDX-uY#cC85%dGSoNzmUH_@Y*m7ftb6jIT?wt9A{6*lofsFfdVN~9I!hfx1wttt|6 z+LjthTcuqp7x`$PPbeQCfaF~)>LKPQFJ-bsxzHqT#@^0=`f|nR#>waSvBukVQzm;y z%=9Jv`CO&@+rK=tYn6`{LL}up)idSzSmi%H>Np6)cK-p;4mDB4%Hf;C->KakziF7^ z1%N(a6xw90;~^V9I$UM-l@JX!G<=O1{Sn|_VjQuQ#(nD@YpDVsG(HKCXb3AC^vu@O zRqmy~nMya_y3_E8mBS4tZlcv-ze+}EtY>2R&4$cLM}>wnNA3}P$K@3h&IrEq$m%<* zx{89-0aQkMUO2#eDT5s!e9e9w|vf&=ao_OOpu2vdMqG(J;Vd>zj(joM;!t^ zx9b*47U6lVxu1EdQD_Z#sngvEKGMk5GtCFxgjKP0N7$+|CQl-4CPw~N$&nl+8q6H$s>}z&!`0pZ8Flck%5Rh-HC4Y+nS@6X%3982h;l&+<=-sV~pLSHY0@5VcU0 zZ%Gdj5PBYnW-QK1RZ3K<*59@;`Ywu-1m8)B`11fSB?=9+*eQU%a%=X$15Y#jJr+QS z94}lXAqMO)!s#$2uDoP`hz*EMhy*)$21D>+w~dFJDE`O;Vj^V04hbssQ4BE4Cqb7| z3Cw!vZX2#EidqP~xLg|k)oS)D9|``!z7kkAA87xRXaM)h%GI)?R=t2^&`|E5h9BJ8 z2xf-=y6J6@t1-bHffo1e_jR@8*#71uaY0O=dBBhIesmoC-h9x_Sk+8-K6L6^k)AO0- zx#5p&*zPp9aM3Rcfx|sHa6@T*&y-D;T3gt!ML}h0uuxWz>?38l?u0bH1R&aW(fMkdfT z+hceYw|!B~KJkW;bdIo6uo=EFSRQVi!Mre;#@751Zf*|IGTGpG6QhzzBInu`tz+O<(@df~?R%hW;K zfVIiy&;kCN#beFqSCF-ADM8!s2mRtLX+o;Pxp`O}6_CL+!V`(|&5)?Ti?(2$gY7f5 zG0ACeHo?fS3&UT6*^wF=<;}aw7S?)i98&K z+K(xkNc8m!C29tI`tU9(yr|V)QIEjW`Rgx7>fd*prRW1sTWE!6^bG2p*R>|w)UzXC z$J|mEXcFH^--AU);z_>)r}vzosj+~AA9v<~uNK9@7)y9h|4$od9uD>P2Jk`2QnsOV?O(uQj?e{E#KfFqX2LF2Xf*ZAFtcS$^j` z(rvka&GUSpIqUnL_nh~f&-=!RB`}T7n+glo^W&Vqokr-06PeM?I-j>HC;H=BHg43@ zX&w%m4CHgH4C>A*FS0hvj2fmE57%CwPW|wvd~JRW-j-sym}r3`7RWl2}dEsh4(7pr1b5C4flJX z+p7FG>48NsrhMK?b?c?}Ereew_Ga-CWf&N}(HD3=z1xLu>t3Gwz>2csk_5v8G;ipi zIs^NjJ1-+=H_rF{&E9-*7O*^IWw&m& z2)I6VTbR5a;c*+S1-pw>a@}A05~yyhA;PIMEh%9kQJrj4g%R3b(;u1(1^43@j=Oh% z)ZVhRx8&}(_np(+xJpuA?G9TaX>O+p;X7AJM=DnOO!^uKXAr`2apuPzfyNWXaW`yN zd-TQm%X2nC`hum}70r^G>uy%bTXfzSsfW>giS2i(6W;b^YhZBG_H<$Iqt~uBm z7Zhsl*PUCNiC~ysz^>@v<-t2XtOg9t&>T@V@_FX(+b^$lJCin7OEXWJkk|K8V#QBm z^-&|ezYojGZQtxQ)Z83rZ+HSVc$GC`l9Q5VYQMx?h9;NT{OQup9mK&8dOoQrn5;M! zo~b#5Qvfn=AE&*r3LMJ@=ZB?=A#FxbD`%V(zz1ke?;3=CFvahT8eKgrWbR2P?*cl$ z&TXaeO3q$NTPjoY;;IX+z8TFrP@gO{R2luT-Kr>b+%GmZuMbqofYT}Qg=de_@ha^7X?D;ww` zR%^P8CKlCejbFYu0tAXpBznW5l~Of6N!T6>x`4jiT`UoJ&KR-n-yGkfJlPd!7(11P zeIk(O?x zcJtoUvl&9DZO^RAZZA_Q%xQawi+yzA!g|Pb4OdFP=itC}W4K^QC_TjxU>Go(;)&qI z#kvmOG+;Co1wWJik1WBicMfc!K2Woeo;x6zxU2x(F$TsCo@Vzx0h>9IhJuV1$Oi*f z8hRIB2N%b*{TQ4t{B&saMc-=1?ncg@zW{2=exnk_?KA0{Zt)#&vN-JwbVmeSp5*O5 zDA3(R+QvpZxidxm7cZ^PX#bUhmefVu-a)jXBlLH8GYzqRfqub;2Vl|<6f_{SBY#1x zZ%`44Zh%&Th{Au#?C)W2y4@lG=I)05!dvgc+~0}-m!n~8w+w_^{Y-MRFF}0f&piu+ ziflCdYge|8GMRJJIIS`eY~-Q^Cb_loq)JNl5@EO8*k2ARj#97)Ch)%H{iekCZ6!6D z8E*XbMBenS@Dm=7|0Jt{ky@WolB^_nz4k)pPB#XgN{Od2_jowF{ZxY5{9m;pfp%2W z?BaUz!hMj*L@hMQzv`S5G)P;Feg)f+bu8(5!l}tq$$IhpR*v(QX-~u}2qU7Z7py<| zwJTs{Zb?pRh;|+eGEjfZ5M*fQO-jI>j$bU4&1Gs@J)NSq3bFMMtPyZYW8v5Lebxp% zZDJ@=xVr@*8HLx&jfTAg<16%zQad@Qj2U%>)ubFgKyM-wvzRkvy(X(rQ~mkbg##IV zzMK+cryu07ejB!-oFLc!%Xdd6%GsLr5oO|ibz09lR)U{3kN(lBq(5AV$yJyQPU24; zO6$mROmq^etw#;o4lhpcCHSZRP!V%*(fKNp_mQ}<1pVUH8tXY_Kc)UjaY5f`OhuxZ zY2HWQ-=kE4yOMValEBX7DQ(<~fm)WxK%>k5hn)|xMk18gRsHI)?*e#_n;R}1?TCaI z;tyx`%Fb@sH~q#Z)A%v|^}8=$XdpeMX|G-{Hb#`}cTL>dPwK5#|8z_NBj+{y^S+g+ zh3HX@&@%yQd}pg_-ufKaxEdLV+!6jx(>ZVxzXsI=ZsWCdYb(gimanwZTH(PmWQnUbJY8b!rXG; z$U&G9&8ek;L@AU06$tnLDTfw@FliM)`f6NE5#cR4#tM4`EJ##qE+(&n=d{}>K@Umb zEj=+uC#?+mm7r>qD{^es#TBEem#yhdy=cyC1o{thsxs`6FjVrtmNv4~rbj8Xu-xs)oU2Vddw8Yn#pm;?mJ0hfLT1wOXp z@v}-#xgxkA;w`O`xCljxus}TkXMMZ~3S2FPcIF*c@%R3fef8CkHs`b=D( zW+iY%1n+|;gO(anihtIfDyIkvN0hh*Q zqfmMW2%f0+@wX_M7+Aj|q!3^exs*{<8s^>kTd7{cM%bIy2d`G0LR}W3qHN41x2DXM zyIMX_#;8k0k7JIf*w_c!Eovr%!fHF()VC!e7jA$+p-cwfpXh&>&_&!nbirDF>*aeT zHx*bM&Af{8vAa}zG_7{CxS|0Jsq!Mp3?TO7?dBMq?Q90)tcM;bV5 zY%W@IP-Da4+8{au1{2*w z0a$R}jm9pXucks6w;woaQvdabh0q2F=okFD(pphM;i1g*ML>>^Sdz{h{e}CkRblV_@Sf z5R_~}Q1_jUUWt`$e*Q^zzCM1oTRr8Xm{HE(0ZX;~Az5m^&UlW*>#_18Gmw+IS0JpU z(x(OqgK=_cBUY3?v_|T%xCFK`R!FN2A32LHfb<^bh6$j(?GJ%I!v~=jS<&Y#^jLjn9EkkwuzJSvEAlqGG21~W%%@@aZ zhtV?v%~}}6Y}xDC8|wi1f{fD*%C3xEGWV=!1cntXb$B+c9B{YHD+q!wv4)w4o5d{6 z%w8uq!h3}Wcmf*U`;Y`1%*|?(^Do6Str8~G5}kx`#~{(R%LQxTn|W#U}Y4 zNY=r`Y#l9i{nDD_b|~(t&@Ew-eUb(zM*opay4q5wwj46Q*Hg($IyjuucWCKr|0+KC z0R<6FXXnGP)l7C6_2~Pq66nsoGEgD}eOv(p=CWCk4xXp4OsvS@cR**yu?Qb(f zXmob}kV21N;U__7W6DQk9f-@a}0bi%k7Y7||IF}Rhrs=7V zkZV>MyGb> g6h%ylWZ2ep3gx@C?mrIlO2S}Bq3Wu$bQRKgzU>$%aT3&KFSnIsBGCu$TEz5A5DtvS!RrN z%9PD!Dk{dichn51 zsQ!9QMRm;Y#9zRZ@3lH5z<4+r1NH?QBgE+M{p**S@dN^bP7 znu<}N^>QYC^I2r(V;d!b@t%ukB*E-bXK3E>HqEjM(4EAWqs;n$JDf2JUw6D*~nL6Zk)-dq*%n|FnCL?W0PK6t$vk>T6ri z(V4`aX`1oiD{7@`lBa4LhXp5oHL8lX54$I^NVa#{CD(T^&3Zjqdefg%cV070hz9RP zHTB^ZAj=Gf@z-)>fn;u2Mw^)zTP!?|f}Xr2a1~uSZN-zY^7)vzx{}V6#U-4b)-<<@ zXIeuU4?6myR}7n*IhI>bJL~vsVH1x8oGS}+bxVvCE9;UeWxdr1cSU_cQi4yl^$Is? zWlwW`zW0I~Y5YB>O?P9B6!+XCt0w;z5^;RI);$D4bKz3(uUs*Yy#xh)a=(N_SE5r^ zP&>Owq9iKR{~Hgcb+bqvb?ZDT1G0=llZCw=ern9twWSLmO3zE@Au9G0r@^y2X}b0CkCD=A5dF6kN;>cud7JFlr`@BLe*W($jxZo zzM;1-d3=^F+h#AWSr7$J{l$4Dag9rP#ab>Q}M^L?{4ncFa);#uyc zQ;Zv`KDYTDS(k{*;~-vVM&{b!ijzJ=ih9j~41+-ikGY7ywcVWNSsg9%*6aHol6T09kTP&F=FtIL2anBtgsA< zAlAGCYa?%OX8S2jM~63T_u089fR_V0MLm;Qt12FL5ErW?)Xp`pkh0#&d>vDSVzaM(J8@oXcW&2mdr5l# zCjaGHx6w-XabH&jH5v5X{`|ym4FsJ_m&709=5*vp*q6fGU}8gOj7{)tLq&=VeC4yS zg~Hliccs+a^`DK5(p&`!U)}u&;iDf z^|IU;1tYDYtk$xc(ldvgvn?tBwSJAO6cuip{>7r`vHU1i8tqMTpQvAbqsi6a(4E+^ z5Prkoy(jIpfov&3&BEBm^}b6$e_mT{_@2$U61iNIJFIaVq9@(FzxsnkkCc6!>2*QD z1fQidZ2Na5PCoJyCM^TBBk1O1tAmcLpE%f5_E2(VG(BGR%`;`eF zECffnLOn^Sy7~n{n=?t=&b6iK@{ak%MJJ0b?wTO`7_$6cpYELju^_S2^Zub>8J8tx z!#Ul|`+3n+NqS@im&8&hSHMPc(&C$L}M-87nCguD{I|DK26|eQy z@_)E_75&~;X-V15zpbQfYG(us-t^%12b_MC6l<3*>~9WUvN_19o;9F-`s67*x;8TzIA?! z?Qs>ILX)OKXtRn8pdOVRR=fC-+D7-WVoaxrU({s9i0Y>DuE94aB}+kb{*PmGr`C-Ln~Zldlok;mdvD z6QS$9gWcO8@|B;7+Qly#k0-CT$8vOElJ!aT1Y)2Qw&YDBjC2=1SX+zd4k9}=xTf&h z_^Nl8q+_n&B)o@oWI9pDj?>zQJYH6z`bau#YN zj}}-25xWdFr5Z-XWPt(#QNS_c|oLF+frbMolpVbdz@J z3$GVe360K?3!Zc!t1PO^3%1=aHE4E8?Xj7X{Wl?##obiSwE#r?0q7`h@YcrS&;>EB zVDlKRI^~<1tRB60rAutH$A9nS_Q$cMK?Baaj}tye_Db4-9eyP6>dDSr zp#X$X!oAl30IE}sPwezT;fL0%YG4auPnSlkoEG{r^E;d}yxQwpN$#CKP2-W410SmP zI#rWfx4w#b)c=f>b|140noAPM3Y@NP%;uXctO~4rdZpGZ>9_)Oc9>gARr3jPJTr4lAh{NYm@4N45N@tJljhV! z>y7=5p|l}AWs;_{oG+?T4BGNJNsMqx%vulu92NM?z-a_#yYCoq{yOU3VXA%gi*WDe zLpJ+Jgm%$6BiuKw^-CwD|DL@8X<5_}fO@=&QWWgg&6Jy*EXTm?+WeQ$Jj{kucG-Rd zQk)@*_M9pJn@!&p$gB?CUOOjT+0<5>b?pn2VpMHQ{%%@;((B<)tD+Ac*q6vN$s!@( z0c}ya$%)c1PXI(M2qCz;k90dOyobK9YgSNLX#ha+$%2@Q-#%}NlXnfp zaC~oL&HlAb^G3$xxlyNVl1?iaGZD0adV0JSz9N^J1E%ejGt$a$>P?f{ohR?ME)Hqp zuOrUSm01{wcApouFcY{Dyn-84$K7{UTpadkj~(aFJa1a=pM2dfUglvW$C6@*3l>=t zKpgE2VPbb*KIgHn8^(PpIF1^WiTvyyUdR6}P)lNOC)!a2a*s^`cT2@Wr6#WQW0j*RvqL$;yV(DC{TbDVbLio9MXE-}5@MxD-t~!bL1yM0>$M zM)N6$VYi{K?A+vh@1`Yq`$JWqg>O6C3=WwfJLrbHFPIeK(BKTNGZt>yeP*+F+dsv& zn?-HAEmi4hUC4%+*N{%7F)7L#l{*;xgBe`^_@~W>A?@UP8I;fb9a1J>3*cboZhfd3 zJ24^i=zEP9Zk*z8%6gW*13y`p^5WzkPY*Ifq29%;SwMz?-QT)ouHTij)8OUm{Te{C z=SHAlO@s@lxpS6kR>uggAT^`4ObS5@>*PUaU;L(8RI?+v8bQ~j0-?oOWr-TV@Eo$6 z3mx41_P2lgA^9{`Pa}Bx{fE-+`h#`J+FB4%_-1uIo zd&};(c*lg_XL{^HBeFjh6s5J;Q)3f~17X<7>)FgN@nFNr71qz4-O5>2;A|0x*}SHe zw`a^I$|ljc@{}m6U}f@`#8?V;zvK7uvz_4?isPwDlc@@$Z1P0UcxCcxjtb~18izuD zTPv;dc;x*M8}AU^kr}vC0!puQ3EQ(`)nPEnIJzTT6d+ z{!FW2kVyDJ<4l8;s~l8_Qiv}f(E*Oq2*%TQOc2Smo)h)@awNYDS)T>bop0{MNz#rv z;`|3x5N(rPTjX0@EJue00=|PSAv8M7BUTjcUk$J9&@$>OEq(}gvfN1E4G*3!DkRMo zDktH*%MlNuov9@dcdq>(;qv#m_dh|H$lIL+4r!MF!+1LTwLQ^r)!#+JRYE@AxMXumFQ_nWV4d3Bd5p|~X88c5f6E?7h9kU?5d zd^nNMymn~<{~8}|lRU`7_LFH`qHSF!J@d4iU(H`=eCiFmh|OF*HFski2gdhv5`#93 z4;g~l|9cp*GF+4(0*P?vR09H3Pmy5MAUa;}^(xeJe@K}$#G-kL=Yl1h0v5;+Z~b^8 z3Mw#LSEnRtf=OeU7UkCK+G2|M9?3Z+V~uu^K-|-TZjJu1$&6&kpsjgYN9$~w$=d}V zcFO>)Ib(nGk7C-9>X!F+7f@Dswwn*&_sS*-t@A5v7Qfe1w;!L*}}#H!XEJTJ=oM zF*SM3v}2h-C<1-PoU*pgImY z;+x^gKwOwN-tXaNh$qMI>MwqSmGKje0-QWh=l*>$?|f}`2GhnX%WP}D(5qGI3r%y+ z#wVp`fx5}xv2X7;3gl!Rm}_Z`?9b_}cT19$Fr4oO7|=jy^ZQ!V3&-9xzdb@*a6m); z%2-Xw`dT*ui%gjL#m$}qUC0c`>M`1nbmtUOOL8%H_I_AyLn_uTw>~8wz=e7LHP6(_ zFJ-T2jWFUm+kXs5B6Ah#Vx>2`EVm5@j?snUma3SO=B6_--=a_Y7h)8y;Fr#6auOD< zTV;Setp-01w1RiX*UqQ!78pNpoRc-@R5#bhSh9gh>MiA844_vzie{P+$$W@Np$|_G z$-1t7FsVk=`-#}e{UvRbN}>v4<$EqfBp(%XUKFbw9BZ_l(G9ZlbuV=Fz>aSu^JtlS zB8!oE@l%6vyre|OgrYkP4-Om@)0NG?Q*%Bav9rZk-lziq3);wV@mA;xP&?1o-dkz2 zmxU9p8VW6|XK4Y4Lo-7j~27F|cM7~`_=N0zlfU1?zQ0acme z_wllEagyW+c&eVT+;PcgM`~oFWvy%Kg5~$s`0&y<%*a+UP6gV;^nJ+te&50md>HQ9(_ol+mbWFeO609v=uGV~aN|82h z#eehLx|lnEuL)wsd+;t$K)k;bfM!EoHy;&mrT~(Osr?2)@&kCn;nj9V*u*St5=#;iP%D(7dqxq|Cc z0>y=EPcp}Ln-J3bN+*4M06Nj=oABRRECkKS%DbSL8uG1y@SLi3=a${H>|A#*%#hf9 zDGXnnvT`Ba-6hh6Ey(*w+iy(T7e7coU*(Tt`Ai_{Y5K;AA^cOEA*6YEd={pt6+&mf3?7eo-9v#WPKmz(CX+yy6)8C zy-i2BJX_YYWb9$2nvsy2#aq83YuD$m|Bl37GlJzKfJFT&#?K^o&SMSeSR_jDEX&y6 zhw-(0S7ga=!V9xkf-qs6E1}*mUR~YqI?EOmN~Y`FFTDH{k!&i|n=mFiMpj0l5*<0* z8baVL`_US`@-iMnGX>*#ZUyh$;oB=vsI!(a?owJNaj!~o**?F6b^hrph7i_Y(a|-y zV}(KOF(lav?`+~Rd78|!OB||Ex-NsWi(8y~+Y8y4<{%$7)BO}Q3jW6Xd-S$bMu0km zH{Q~JxdvNiu{{+lgHqnOAB2N$r(VODPwpz_x4wwznN1hYKNqm~Dnc|s;KI~I)xt-? z8c&jn!6X(g;8DLY5M^!I@GBPM7vjB_KZ)PlixF!sq6&g7wAGF2!uL{JHe;Y2Hq)_@ zYhP1rrY0L9KGQG4Wfwo#)Ga=e3K=gO*}3VuPIw{E`78ufUA0mrd6J0JwV0FFfn#R- zzcr3x<9oc7MP)Er_;xvGo1q+AZO9ya`@O6D3ms3kD~P^XnYS*JwgQSN1`-7|(&lNB zb1@Iy8NGl2SCU;u_5~=Q7G>fUB8QODTiz}j=qYL}90vOpJQ~)~8Y(f}`wb;D> zfDrK}6Hc(nWMib$GO#3rhDkDqi5YvYYMZ z$HYpZ=j`1l`*Vu2IXpS`tuR=p&khr$ZtMrEN`tt|Kf?njuyL*6Eg-SE-rU?E;$Wp= zudqLpGAPSIz7;w)&vjh0dl&wA90P^Et74FFspI20n&>xvP4IOz-| z*`MBjq@#lgObn7b@mg}-Cev$t4TU8(Zu_+EEX61Xatv13ttW?+dD=;ZboWh?(rUK( zm9Et!?=CHux2!DR*W^c7?>8DsKq5qoTUSi>O)~dVrhazW9klq3B9^bWL0UGFV)b-0 z{T9yXF$cFZuje?mOD%5ZQ16a4t#Hc(-cLnld6!KmB#@?sA5!Q;_i}rll6K5yU~5v`Wsu`6>i6~OZGc)7sJM4>+Vf% zpg!iO@8@}?Zz}Jg$Ei;Dw8iXTZmwfF3N5#%3yP-hb3&|tN&Tv^Ho$cdiFgU6A#NE- z@pRH=g06wEfontmRHILwj3DJMV|N%a`H97;RO;O% zj2vH8Afh(w1dFgfeQi^Z0{YB_VU(-idJhz@RP~@_IC$kGc!EJ9e`u>+Z-rCD7#xg$ z&Cy{)Ug-!y26ilz8_$sHd_(L9sHEN2@}JazS1(R2*64&V zZ$wf_IFfKB^1UvlX{1l-0TT-F&e)mq`^-%}o|VCy+EdLU`uj8~WWg%14k!j|cc+S8 z`ijYGbGqFLraIDY4fM-YTlQ)sRiz!V{Y4$J*60lvYzDQ9SrHXh#jFguy2yKKB)h$_ z;7~quy$I~Lt1IqaB}q?g#K=WQ>)!`lOp=*6aZaq?yjIJy(gPwx{hCitq@lcjC*8Oz z31BheDqeX_+Nte=4S2M~A*2N5l7L*o_+rc2rGUtgqGJ(1*@#F63OsUrJGA_lUq4?R zhSV)>)y(r`slm0q7vjHZR0GE)>Gq3;PmI6j;|A|6w#9nhDXaPH=HH51ZtWt=WTs+7 zqoW;e;%xVuotxM|Q>o3Xy}_b#L8?@v>qvOHTx=_OY9v^(zEH18+%zapR(y5)?x0&k zf2|AjH3G2S#5lHK?5lQ5uH1=3a6oclx`kOze)Y@G3S`MKyica9#K5Dee% zY?``X_AQKf!G@L`xD5~6RoYeP*bnKgDVO~R6zNak;|Pr}C*Rtt65i^=0_1@=-x+H~ zfa^UT;CfH^+gU_%$;H2ueuVuxBMxbCtOM@;X!y`8gACGtC#k|%#3NYUs5pQ?U`ez+ zj=oAAl0q3UY1{qQ_V}Y7+t%i86}4Bun1H*mV>f{Xd9ML&3CabT3ef3^Wm`fvT~hmU z?HX`^Q*->CaC#||a(UaG8$-;sMkx6O_PW|s zHJL}cYxPoZI}t;MQ~9;^h&~Qk z>&a}9oL>iFL3bICsCP{yPkt8bg%K9oz4I+4{&(N0*w<6!XQW0V)jKp+N@5%enREd0!_y_H=?i0jtd61HV7dDeG^c;rhJ5tUzBwaBIKHAd{H4QB z0_vZ}j12Cg7c30wFdbG5(JFm-d*Hxe^W1LF-z@)zcLk5xMlF@y;d=3qZk!LC&e3lN zr8ZKRbl@c9)F4AYq6~HK$ctu_@~d(I7JwdEU;@$-_9(=&#>0qgN`n?a+PoOyL}afp z?j+#u$UPW*^7&Nl7d=cbf9=q26Lt$)7uF{1vzNsI!Vw80y(~OI-$8l=5f!tJXYb#* z!%{r_PP63YP>);9lT4?t2j64lTf*|2urNdSzrQPFPH#qD)X&vjJxk`S9TrnU@@1(g zM|r4CB0mUD)qa2il|HMM+lsI{3WB;>Gp%!Kw?fj#q~K|kB@icOxdrt8ci)@<(e%A- zv^`FJ8^%&`JN6FDaO#GW&MXAF@>3@Q-;{gnz+>`!qcUYC>{Kl(8Hw7U|HNN0HRV9V zlFz$6sSe~$v8BY(cajjAiiQ#d)O=_vX-8O!o7W#DfWX(e4YN7WiNnnt`1ntt{wD&P zh{pc})1L^W=J>?VgHGoJ;{F#cC>eHniFfrfgZ8fpxB7aEZ zdUoYi^nFpl*0D@ik_}|gO%LCdax6^(gJ~mTFM84YzXtw1_2#GCqv{^XHh~Ez*I6 za4qovjr+Eb%hQ?8Iyxy9o(z@GY-8$PEd-F8p2t=p7TYzo^Q1;~H){8G=|Gj4Zt zbRW52D6-XuWD2aFd1Z*6F}Yw)7Xks~4l@$VF7o4OLrl$J2|}XuuP`%gbrV(NA-t=j z;&J1tOuLK<(AwnBZ8ZYSh#mr!rcmUJ8Jn=YvaQ2E$Ol4ozVdd z6i&bp{QtuVrT@hV5qIR=zg?tYGbi`R1I{Y^Qy*ru47Fg6=%pcYp_WYj4G#cUm0yE!!%0l><@xaZQ;sFTCWIfkHPnKu7n&E~= zCy)FI=?7o<&?-O(hLJYAt+!vb_7Yz+&fh6+9YS?(Fi$f!_^?K>*EY$#M>Wp`9{1Za zRCr5!)*6O+UZ) z&N93yvK03HW-$ocTS@1YopeZ>sYMxs+umjVAW(*VNInBDEkX+RPifG{Q3kVK2Yr!PMcOahVtwl;e{ zG!V#CO4J+`uUMBZ1Ec|P(ugb|X3`cPZCB|53pnjYb)2#mFUJzIt4ks(uoIX7Fqw_n z!r?L#EHOE9XyAF`LE5rqWCtT8ePh=YX&GRsC397@1E|x&iay)M>;&7#@+O-_a9#x- z+&w|GDAXDr;dQ-K@ud+l#TApb!|{b(EZ+lGcLtCtiS|LsA@kr@&1a(X6K&~$49M+7 ztyG+u)&aSEinIm&=S8tJ`aN6-7|EA#II_pK-+IOy}qzsLs-K_ z&kGU)o=I6h_yZw50z6uDn=sc2X#SL1{tl2qslwF5o>#WB#E2r80KP?^cGI`X1?E5C zsIkT2XS<6mqNmPr{|OdT>>YvwzQIe`jX1ZWVLwsqPqAFd1Nrk-@D8*4<% zSdk+kzYCC*1)$abK977I)~;R7u>=K9hffT|S4dr{5;0@ctp}@#wqld)F>yOhpV-A( zSP#w_?g%I{MF`L>@K85Kgf!Ar82EhW-=8&v&^zm%u_@|@>EMnK9YLxakQxa{{c`0! z`8R0BVStf%9(esXO!UvYBi$2_DWbrlKaLjFHLC(D2r*U z*PAf>;ZOjG4|7bkMdgoBw=^tC?dt2xF}Gm^phGEEewa*jUt%z@87}oIJwX`1P!sT- zkyv0BgtTFEZEgkvQw?^E;e`J@7MCU!cP)u23kihB_QH= z1G@8<0M5Hcr#<6U(t9unDG!pEJ6`qpVd*QZse5dS)uDSaBl=XrVtwFBJm#(vCNFo~ zjV(YiSO3K6(xu^%TdtQjj}y{CX`3lWVMlrkVJjB%nNqgoFq5p99`-C79J4T+wDpWm z(kDqkS1W-8;y8l##tn!fnU7A=$Y%a+h2w+g#h3^AH8uCU=o}G|W^72Y@9bhtM=p|? zz9Zp8I$ryz_e+;?YqyrGtBQyxjG$fBo_G16)RQd{^GDrUal*Xylio#U_f#9wm>Jk+@%Y^+6~2hFg&q0r&d z9`b^c>!lJ+5*tj39wmm<$3m|h1qK%gXX}A=&6bKY&L>~AC)>TY?FVrS$LuITSEH{T zk~j~VM&D)^aNOI3D3uK|QjWz(;s~PKMPlKA@Z6oYoa!4+U8+?E`9|4UQd}$}ZXjNO zce9__iuvDgBFy!(cN80kUsYSjzhun6&jI&Oi>25 zYECLTvEz0Jwg6`pZrMpJUA=a#$V3~^X6y9QU&Ue(fW(F!$eX><%jjfB=q7kL;lEzJ zC%c(tpN?<`zKI1em=bjp42#Q=io1r5k0QT5y=0$1kSBL;tJimkqsTxOD&)L z?Ar4SBVV=3m5#Y8oGdnqsnk6n1q3qXw(BMR66LE}lBW3;ukN8Ng# zPyQHfQufltJnN>+f!(jy@3=gQ<>fC?7S$3qRj>S_ml6*|Vh029mpapVwJ??Hb{0`r z7Z>XrCxyD&9)P+Qx(orD1iA{(|GXCWA&flUCu=n#tU1m=M@nd8?47gnF9Tq5M_64Y zNDZ)eBx1!(BQ2Ut%jD2n>r!bm&uggl^sfQ(-%o-`Z7+>^*I|qud4yUNQHg_Ry z&KtVwT0kdjP}RRU&H@WLqfi;5TgPIAfa4v=F8-oB^${*%F@r26r#*twkGgQ`RP(D@ z%Aj2z@K}`hjio_o6pZL8*^eVE+x&;E9rA*vYx7B@&C4rcDlfzKt_UKss`!&l*7MEN zD{Jm|2*w`p)&=7*uj=*^9g^QQV#<4aJ)>)q47HEoHwVVj8n(G}6A#gFBM=7I%ee@q zIX7*du_{|-IdZw>Z(~b!*wPkO_>vEt;z3DyJSvc2mYiZEXhU>^h+)rb7ZDm%9On8tb{PZUiesDYvFiHRPJ!c?1blo z>=?1wv6$Gg?RP-ouiyH2ehuNFp5xg)hWG< zO)09b6>6)abd4zP62x>~FAQ4UzA2fvEs+;w@}6TUH_c$beB!H}(;uhRuN|XcxgP0nKCe!A}Pi>K;&oifqcS6-jFvHTQPD zNjlgP*d+_1ZfqkCT_v^sYHd7`l}jBm-(7J97@41w=H45hb12Io>?^Px=DdCEmB2`K z##)0`7ia|V-NuXbh%B6K1@eN9Yx5v9apYXhdg9|gOHScpR!35C(n;W!KOv9*z!r+$ zWst8XHaDTGT6G52ro(-PpPl)Ne`visw^pnJd|B5eF&nuMPk8{Z>7~O>3c@{ zih>1sleR40`}BFhOurtCQH6;M`xP2jIplv_6`rz-3FtGw3u`-|AdV^nKMOI35C47v zghc3o3WKQ}6apPyt#Um7Wty`#a3LdUEhz&o6iF#1xFcfC1)qxZpo4^LRE6~)QFLUD zAVoml4K_vf843e8XZaS*bHrodJ=TCu^RQ3$&{SE_@8k18qaaC~;V`r9QCrk1w{8Kx zkY|PRgE^1}1Z~bLfOnpBT1fiT{-EnIkKnw12*Dl=op}D>xm+g6!p9& zFiLbv)+s-fuMqmmj*=Kf**@eizEh%$vlWhEZ~bS})vV7C{qA9;lEhq0Ssin<>3Edw zLQyCqR4o@MP$-OXiB8-G1vWw@1)Klg9*&vigsS!{h@HT zMg*zKsjSGe1B~E98=AHs0Ue$<)OzpL@F?rj;{(=h--|W+Ylyw5iVyws5VUQMBI*Ju z11If66F9=SvzIT==ji50ap~~-)dE)g@@KJ%%NoTIJQ~Xi^#1jSs;RuPI01Pz7WB{R zx7ljW^K&31uO6;0iEeY0kCrX*c@(SJaS#q&FE7$kvPjkl#cq`z&DQ9<>0KT-`+FIQ zYlkmRNT2`yNJF2k*N69Ljrd;g)9Xe713-c}pdhRtp%@gzK*`yGGVzqhF!o~2y7)iZ z(8#zveFYH4;f{c$-AOK*X28>auz-~VU>cw>bvU&3I|SIb0AhB{PY}9lzz)!qX@sJ) z`O&&DE^Hxet46~AXsU0F6bZZ4O=up~I&#$*sE3pDn)!5Lx8adjkiId{Ra{JCsgXX1 zdaJ0Pn`oD%f?+6+Ki3IAT?YIMqb*S(oUwA6^8i-`_yf(IN3rJYbn#l`jTZ+THv^i}cts zXU-qg*74zjN1;Y3<`12KH(CEs{r_5{$5#0k5?DkC0S4ba!jw|dA3C9iNFKGkQvf!kvg!vjbU zF8zBxaGCJUp36+D)E?qpqevIV|)rUA}AfwZwFio z^j0bP!b95Ksk=b8*_Yy-!1jLu*BhFLdWu&bhFkQXvw}-u0JuwuJBTCR2SDTFV8V}o zPmn}I_-{o=4;-X)z>P=g|4!h-|CsJ9S0w*4!4ZZJn)1O@UMeJ=?_Gd z?(n~$fQP*Lw+Kor_%H$cGg=ZI)^UWxRq9>YfA8}hVr4zU@b5bKa_Cmb{?8a(Mp(GN zW6M(ft4<=Ts(q=Zc*uKN&_uODQu1L^ z6^=9H5{o#cfdTk{RbJ+U`pq*7&ufU6uRO2-z|fDU(#x%q#nAIs;GSd4p)k6 zfZGM5rSQYU!zeRIbTq(1fKKO@WB{P%DaI2Fgt_vM)FY-04c8TVHNG@cJP*Tsj zt$n0h?E3HGT=B9YN<{8H^O?QPeILitHOvF@Qd#W!EaikO{n(y8f9xsl~Rf)nB>#5&58u zikd!R3-Q(!69`}^iq^6;`ZOVP(&6^wT;Ym7nqt1;-z=f6iD-FM2_B;n-sS8QG7m~dtA z23LM8yK zY3S&<>-4@$W5+#;HtVH$X9OMSmCUXl$~L-x$XV?krA-KA1$X`r*B2lB{{=NL;RIzu zBO;3`w;A{aB36z|YW7RB;WLH%K_(x?N-bK$o>8KY1bp9ir{o+g+8fql`0TG-ILu{o k$3#UwjbpLyuy)4892(dGcZgr&NxuB%pd+cx6=0pv0yhyVZp literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Fixed.png b/src/SketchPlugin/doc/images/Fixed.png new file mode 100644 index 0000000000000000000000000000000000000000..554189b4ce85d2df20723cf7af66bd3c4afc10c1 GIT binary patch literal 13468 zcma*N2UJsO7cPulR77PIK?DU6=}I6-7eQ$v(xod%r~#yhI##M6A~h7HN*5A(v(O=- zgCszdl1PmZAcTs)3i0%L=x)p8_Rzt_Q_RKI^Ma(`@?r<9f!6yCbK(EHrD@l)pI_>d+TeJSvfpbP)3x-6gKs0f)Bj2A`yP7|< z`1M%^3+*JJ+0*^dgG@|^&mcK&OzvUY|E%Z8pN^4ecbN^Ry-c56d7KH zCnbB3ft5XoX+In0*kHnb==kGLDyl15zz23rWa#I&U=>5&Kc1zvg#tfnzyUw%+^mER ze$o;@U!|$U)OO_jqoWEZRpy=N4?}M^JurpAv-4)*Njc5yT|!#$i#}}v84bo!q@MSi zBqQ;U#B+Q5@M=bQEkf9d()`4aC>aMK=u7i=6ONe&2c2y{#2rz1bTS33<1a_iQBlXqs4Z%a2iduaJ1m%^EuN^Xe)rm`D~2UhFd2L!U;@fbg~G>GCZQy;*y);&#X z1-Htx^BOUOs$7PArs1hUS=FcbMdi0SG2_s|{B*)2T4lki% zjaMr$DG&Go?Z3a30wa&d{L#ua5qZg;gyr!)01AeBr+s)$Zh4;uw$b_a_xltYt85RmyR|*QPUlDy%Fxo&yA_Tka4>KPVQl44y*v zH*9_DfLJJnW z(0$!uMwxQ!ag_G0`dc>xMwGsipU#|u*T(GS$;}v^jy6Spui<#Au?B7U{6!wgo@Ffr zUF>=P4D@E832G#6^iW4(WAohb*y#Lbgjj73eT-EJq<{O5*%SV@)`p2TC2$+Ean2xw zggub0U^qe_xx%sdtu(Xp@g%!VOTbuJc7T~aP6N@7urROIY*=bJSI^9Ld=y6LWVgIR zW%YGgtU5q!t3pV4rTfhacKi2`{9u-B-L<16bdg!*B1#%JFVcCx+Pv7~>q2fiy}MDV zZ>9^hl|84zH|R_8p&d?&>(Z@}didpe5N+tvz49W$p9$0K%`)c9*Yq(o)B) zi=4MQu*cjoVn3jW{u*(|a6ZA}k6mPzKblp#G&eU-KPmnsBQ#`y8EpupmX(#AmxIVn zGDjk1@YQeo5bE}shpdFggPdZ~jgCET>jfp+Ry6@lPHZmFfMFIE)5ye?oURsyOQkWR zrmT~TTO0T-(;6!LTeF7O>zF1&dg-YLc^GZ!F(fs~u3~keHp8Y>9X2oD%Jn8ZW+EOJ za~>^2Na?>T2elzDPL@9mN4N=^@>Nyp< zc_e5K<5W^)T#|-Rv@r8N@4Gf4ATC%v8Qd^KVI|U8k0Xopb6bugD%#%$+?kr<39#;H z%X7W8%;n)ctBJf+ABGii+E}v??DjRrW?UnA%gJ3DnkP2(88u5y(iVy)lD}^{0Gx9Q z3Byt?^}PI}*-aNCMOq^h9}{l0WO;_5Vvplp@Hn%k+ghdJ= z(eIuw^xCN{%5SF29pADW$xU~qP9ab{Jlq?7om+&g*h}e;Sv3l$hJt)M$5Kzt6GhOU zng~RZyJK%GthD&L70BkU_^v<&f0z0VN6KA4t48+p)H3B3+aZ(m3_Wu%HSffliP!TK zOWodeEingjYvy_j%dX0sY|3NuJ>kx|8#W z?&BfT!%HCvRza}tJ(&xuuQ;X3crJhRrN!v$(8k%VyyJz0vwKu{3i>x1+F%{6s&TiM zMaT>L`t@Gum+Oy(8NNWdz!4gI7ig)LoZ99fEN)&uDXcpktg^~a1+$)`SQ8IXJ5u)_ z^IsOMj&nLpaI@(HYei1OXVw-#(ojxgz2&!4BPJ(?_e0Mdp#Jz2Lz=~TQ`dBq*rP)h z`d{&In+E@ieW^_pStY=Sb^hO66H0olb z&hv~{EQrhb_$g6Gy6NO#{%%~Hue5{lrOvF5ybu%TVN$8uA*=WKg<`4a#wbLeidiYA z1>z$k3uzxAKYW;Rg1~x;PNAysX@hSP_6S8qj>{P^jM5TC4Rh=sRF~gKbs|<5F6X`2 zSMYNZ67$=gwhPaK;PWF4ubOV z8N~*FuUgGBmlbe9Oad5_Ut0bK4N;8Ehf=0~aCIJ7firk-Ed>65~~mZA|#=#O6}Z zpAv44Q(0`Fx=;!e8)konYPfckSIr05Jd3ZCZq!-qY^x`a3nQK#WYP5Tm=w%SS$@W| z`C$E5b*(q-6uuI-26kCN%Ms)e$>5;KDIRq)@7l48fjSx93Eucztw2529NHIJyu^!hP;I!d{mGTHK~Uby%p zb~GP*p&fyECJ{G>2cfUqtj&*TB`5}JMU1n`gRC4E3d5v|z*hQOi@8?k#fOt!H3k*s zk$BoOez~=}1{gL)8ppXg@l#8zvDYL$+#6m}SE~DzwpG+(Qszs~v4I5E;MX>@Uj%Nf z%=BePWRaIU#kbZf8%6nDa8CE1UACB#=5VJ*SZz+E`7b*3=V*U@$s%6!GsC16SG_RL zW^8g&Hu-ratWhi6D zzxw%M>~xLE8*?K(bc~akly5jb(Hx_+MBgO!*d|!4W%3Lr)-GugJ~VZNY^+LiYQl9C zR{hy6JZF6xJoJ_}_|~3&19yg21JR%c$h5q;CLfl5aWWP|D>tpd6jO+HY>#S`JNigo zOfV=wwKgJ-qY{c%jGJM2N+q5Or&eeHgtgBe!0oH&6o5*`aP&3lY91`!)Oa9HTnPZA z5VV25F(>UZTJ`X&mNxO+TGyyg>PS`2Af5u>S{D~cm-nLNH`~e)igA+A=GmnPP0PT* zUJw18)PN4b*o45TLY-T+s7dz5^aootEN1N!4#G-ObNx~wgy5xScCF7rCCj@+}qtMM{5j^oT2JmM+%Pso8)+y|4k?+JO^LsmvhCze=p+%e ze%2dX(MSi_%|ALBtBZ}y4bF{Mt?onXhQ;AzM|~$b`tXQuMc8b(3c4^QUor=1BMwC+ zi~GEl_%L3*AX0n>aAXn^%It}mnJ+|kU+koz0H9rzwe4kQJ16n5pI5wRZ)gU<~X zR0@76fH{&TGubycpB|;tl+fok`Vwb^ht$8dp#3v(AU?Fbz;Y~qO0%G2CG1luM`P7W zc-0PXovWM?jUYI$e<{>4mf6yB8tiDN6!>uR%wm1?VlNBJc?Z;cIl-8&CJ5ktWNhTGI` zoie(u7`XmW=1KMfR;P;U0{d1({6MgCeq5nBo2Nu??9bhLJTC9L=IpxR>H6h)<10=t z-?rBh4y2Y>7pv=+JN%Q85nB#PpGe|0^9u_ov+{=TKkOjM$}$?tVo}+j`yi#Qe3|_1 z)&Sb3VyzYvo5RX$?613mTADsp zm~Lr6?MvTW$z2w$vj4f??(u9g7)wpxaLt9&bQGrt(yh#MgEH61Ye9Nv#D%B@GGI{; zf4aNqI?%Pppzk9Wt{D_34yFrr7I7<}U$K~W?3L*l&y`@~64Mbi9%R1zX+$Wmv2G(r z`59Q!alpPSQCux*^U=4DV|q_r#&QB_Uh2hT=fD9!?UZVfTcIOpU5&WtS!iWCB5Nv2 zR(jOs;2=yhG2PdzdbDC*;yaQUY~{i)Bk{!xVbez{u}5sQ?05SDvhDbTrorb%Zp3Q>49aiDf+x{a1)Pi>Ps*MDfrEAEQoPw9(_ZIY{;1hUs`S zPVgLrM{F1cG>%5YD0f`Srx(BoAUx=WKp5%`%9Vz&;NlpFi z?P+GW3TQr!YS^L$Tb4}{(YkrQ#&E^%Mz=BBgw!>?C2uJSV7#d|ir0GN@G&62^7__h zy{K6b&}B}s8;j)(VyC-)?BrkB?Xuk0tZyCR2pwD5g~$jZRju3vx9|FUjXV_5FDz~% z8C-H7F@!X^N<0ut&F{$Y6Hi2hNjSj8>sR`kBiNNFQ?X9NQ6geNo@?{UBm%3bXrSL% zjqW*c9WscfRbZ@C>GtC)2QoT*dv4(333AUkf?f5RRi8>35s3plJ=|DCSwQ)Z_UEM= z6phiMJ5L+drbVxEN*c+^LZ@?MUboJ_9>^kWv5QYdUNStzo5TUGFqr+8(aGojWx=1N zz^t-Z+7A7mN=*0o%CeklP%m|-#nx!3P18hNO~$O*usCv>%z{dmnp>Isfp@FEw>Vy3 zOUA>?9S7e8c3~@Q*MNy3E^d|xPc1t2Az#EN87aImSW`$6zA;=|sG|n~7x~(1m?MQzYF<-%2Z(ik~4zfXX zi%Zi0NFc(>%D_JJ-*jF0yZHI}_q7%!Fj)mU6`Ui^2ej7H;N#MF1j%OQj;r$sve(b8 z@WN779SmOWr4F^3KVeF+D`RSC-fFADe~PasAN%gJxX4+MPlCB~`(WJYT`}6d;y<*! z15=gZ`ZB?*lU*sFn}A6_qyu4osB`4_`TF~X`ngeLjME`bDNYMIsd&)r>%iN>n7A@U zcZ;(Kv%-kVts-DH;8yT!Yc7>FUsN6EXn6RH0vp3au@c*pSvB9o_{@|dA$>y=8N09S zugsL(7ONA+9;A*T*)j7U}j&cdEcB z%SVOy8uKjtJ^FJ_L6&G>Xt-CVTQ1@@Z_tA#dyk z@h(zM<2~Z8(7|EmcPiCH>ygXzSM<29(I1?<}!(Wz(F`=q)AhG+R@V8K1eKa z12zmTO7{_+ln{0r=sw`#tzNiM{lNWQfYBW*uk}a}`WFN}+K(W#6coRq5#{I=&?G}8 z_AVKDP;Swt=Ss@?1PkvZP+F-Ce(}xGY1>zv=k2lHO;W?^Vg45G?R5uP8fHfHzigU> z9M26P$dROTR|X#y)WrQE=M1Pc*edW9M~g*L>Ywpg4p;k(rO^&{U*SMlV@dqysCN^6 zyaslFWa;JenVWFLpo9CAIY z{58*&Q_RoIugP`373$)cl9{38`eRBp{jxv1H;cCitkjXFhA6OM^u`}?VBv$uxxUr< zxQ?ui<))_%Wwn1>o?D(Pt}TX<@Djyzb~L*5iF=z?gji#Raynwn5_YrVZ3 z2VPtG*#!!97#nr(5r>OsbljPa5H2>f-cp6eJ4KzVS(^btZqHDIUkg?GZBqQX1J{zI zhu+pvYq`bmHdGT-thf~N6ZSs(OjjXRrUuOkA4)r6rqiGieR=99(CqfrWcu{p3eGbj z4MSyRVB@8BR*ev7L&Jw05#PdF{)AbXY;fZwzhT3NDJ`uag41$g5TA%~ySqlwKtkuW zR*caQq`k;Sivk^N#q)VT=6_~P<+ zkJMn$#E9TIyz@HmDSV>sfvZLRQHEkrI}_q47y$L{N9K^!)fpkVJEB`#_eMUo`p{fnfQqqcMsF11aLAlmnhjj@!1~&+S}NpD7^Vy1`Smcru=I<19*ps zgs}nt?`5n}$C7J)^$l61r^?P{n~-La#9r5l0FFW|g7{R*bvB#Hq$f}_mT>&o+pDZ& z{r)|5hgR$*xSjiFc-SrefD;yK$%^jsUGCUi?Ob5^%oDr?WK~B({FF)1)*Suzb%^a= zVJCp#x7lyXMDAn zVj3{EUf8UKFBrq+p>Eo7yl)-Y!+CA2{(6b38FO>;sE(sb@J@~pt<(vw^hsd7w#qGk zH)t$je!UhH;gIwJWf7v_Z&7)O8kq`v+=-s6fEqvR1iLhpecjiGU(CFGEYRx>=l-_D zTdwS6(?L@UN$KDPW3?!{vd$$NQbm~>(dEZfwKI8q4+;~YuXyEQd;uYqI^KJTOCS#H z>eniq7*}p$5NugQx0G4aDPj}G%IZ81HEdReS}qO6v)iEqe^N?SEc5Jty*9oK=J@p% zNkNCCCssWO<36C?CXgPyLg7*DXBBCE0rS8UcR`l-ajPN z$vMPLv4y+%9lj9AEw(88$d%_bJ_I+riOJXXX2 zAve7=c}RfWm^*Hjz2)&arG!nj>1MJcJa`-#_;}>=jM;d>U_g3YI~qN7ZqJzQ|UQ#M8T5__=aqc^dQi6mMo4EI-=^u zoRfdxj_xEEW9=NC7lfKgX4Qkmqyls0pc%OlX zJ&5<9D(2X`_o&dbCmcY3Ud~7PZy%V2V&betxh^i9I7xd0EMopG*WyB|QQ+pyumUi+AqC!1fuFo~VFQQX!*oD7_>1B3Z41tZf(wXYOq6H98K>WXRmv}nflJ0`ejB+5 z5D~frSo^>Kc_a%Rr+B8y>JSH5-EU_@6@Pt^8m@D@p`;$`997;vsQ6uarUEv2cUwPU zDB}5bPcDn8D(GE>Z*hf%_K4^AlpbC=)nrg}e_x38xJP)xY)uoNIJ(vaLIhmK)Qy&El#=o$M&l@8mOf6tkHGcOR2Q ztf>SlSsouWNNH{jvv~b>DuNlonl*-B*v>-8y5+~Vy{m_Y{H~T=p4ItS#GjnF=YK!m~E_3738Q1eT#Vrs8xt(bQ? zH~UvT4-d=$hc+2$n;hkB8f#VTv*dbJFXYm>aNy>&oN^R^u=_6xk*GZ0dM|7Eu$lc78|ZB}0u8=D?-HpYjTy>{W)JEIpF6>g6%yHg@a)knG~(q#ekpl>fO6 z0f`gPgIAa~*oxhEkhz6DcH+b?Mgc4pb}_zve;4LR!v2OS%QlYG|AEnOP_aPlZ@GWq zAG?eHZCZ)}us;tLAH(k;?QdM~U=+aqt1x-|DFxRtj4Acj{1!I9T0Yz7IG0s|mkT;y&b?HRuYfbntktLHs zL>bY25HoCyzR0q5Qvm*ybxt}sUMet zv+|G>l)^)U|J4D>(W~Zw5CupLpw5r&Dor%UaZz|{2uM{+ulimwVWNOHse0XE>uA9}Kru(%y#8XLAn zfLjs5TsO4gsY`X5J7Mfqdo}{G`Xchb`T~}HXE@gGy1fxn1|n3#2o&Yqwh{~v*@Jf7 z2t-~{6At}-^%ZDE_73%vhWxj~g(~oQzAu{@0kUNJUx~k+{r6F&Sb3lNkkA(fm^w$b z>-Unua+3#M0~TapHgkZ-|3`GUo%L)8!t-_80w9xQTz66gCG7M3S*8PU=h^?c6Q~;{ zBp?}XW9k&RxP&oWAj55?T3kGqWOsrGYhH76lZ1M=9OYw_px*%ilJy^{)qA6S1a~!p zQJmIH87XA@@o9^>~|Qsz{xnwHRRcY zTZuL~M=t+|8o&`rPK=DO1%%&i+p7M5*t*8BRg`Q0j;&Y!M*yhKAR|VIvaw$poW1~B z+-DT>zrs1moZ}b?I(vUNd(#>^R0?O4yF$?hOmP6H&#YOHPI|N)71>c!{a25PzE6y1eg^hG)(Z zV1zXLqUdPZ09xD*uL`_0*=Zun6G9T*&1!uk-_Sw**ektB9R+=O{vGM^UFnl?IeMCQ zU>yd%0Jx~gG0XtPu`=veEr(TygkClVBq{-$WacyRwz88A+xg-R7Z4|(&~?bj_Jnw3 zBVkMUkI~8OKO?D(PN=Cvattt7rWXf>C*UKg{5$S$YD)f7Fm*)aFOJgheRRh&rz~d2 z{Xm9jbjqt1-qDxH2Emj1@VDS!f*dR(K#RXSr2f7YKw9GUE@R#?j+F!?<97*A#~gpr zIz0Jf7}{X=FM~LoFgczO{oYnEyx+S8z{EB-&1WxK*Ce+Ax-|VIIN~Im>j`1|E7H&P zSWkk62JX&uwHRXR-1NV(qhPX=K%#ATeAgv(A{0GI&()~H9N9rrxuiAH%-GrLScidx`K73*UWuU%-1LB4E0KXB};Cc zR_95VeZnXPw7&gipI)s@Yv^xRw{7}LzH>$*{yBJ=MPz_~}pWFJ0RBGEDyGo(len>Cu3EKH?*BpL?K{@q^EQ`p4(~eVOcie?HW7`MdCj{`9h;}_-=b((fVDj{j zonmDV!kZcHwY!Q--YYYy3>pJR;Io0u%7O$u*t6}TT9getEL3LT(I*b^NJT}jSxq>h zh}G^Lc>*I4Zt_ovx6aJ!sz_ zEa;>rDL7adb<{u>5hW-_0)F2Rm}C+?w3$*KHy*=KzCm!1-ntvvASZYSCr}J_?mq(y z9dX+GJ(6nMgKjm#$om9d7f4RX^Mu5O*#&NM43M!sDqVV{F&qbZ_(59SSqBpQ2bZH| z-p@N>%sEdlFjRPtH){lO?|%k!k#PkS%+q7>vsCvy_>95%U4Q?WVvp#nv((KO;afIG ztM@RxATGXg0@(84d|IB_Fm>PalOdqo(j-fUb?+tgqWy4;uvhm5%rOM6mOzb?jiClT zkUzhl8pI#qwuU4Vsc7GxV;GnwAEEFl!O-C&Y*6zSutbZXF}j9m%kLKL&@yV6I`EDF5U%*k?Lyt|0wt-PxC)9K0e~8# zYr7_D_y%}qs@#Ku1qTyS{^GXA^_L-u_ch8K5PhC#lO#4$C6tbq5uN6f@rVBIC9jEQ z^MjExTGKW^SMp>TMJZ@ZC322WN8G??pu8-<%on%snUE}dpn6L(i;b5N#2GE!^-Uc< z{QP$#r>}cT&%j`y+DWI{6(d4UPkk&&NClm1nJw)4mg1UNy34eUziL9x8f%31o|w|Fr}zdj^!JIY_xVSVCP~BSX;IeCr(>-{Rxajx&xwG4)8K^uBfW2P5g|Df!H1m7C)rrkdq^=W0cy8zF44N>Y zy&1rIEsvINdc`o_Y_-P|EpoWwvy7bssY~j2Kie|Ta(7nm+C4k1-MYIQ%3an^tbdYk zp|{WLH*wE{qmv02A@A~1LELwMr!nA?Cw$lWd&y&j+?W zW$aE&-HiGKRI?b8d{d;{+L`Hqpd@!E+LP`|6Wt-(f3;*bs>0879nM|Lmid9bF# z(dCi3lMX-=T@6UaC-0Y?q;qy5PGzI|jTw^!aJ@^<&9g{S>258(MmSjXLRpT-jG><{ zG;Q)CzA2om<<9AE-%~Wq`Jk2@x3|miH)xP|R7j$*_8+NBH#whss23t(n2z6#xQ*rN zwO4ye%HHfYM0NRlP1bL3tk%u!Hq15+Qb{6r1dncdnlK&#NC*W;mIqVsnd9#CIqizE zCOo(J@NbjO+^$Wg9JXs9cgOA)%QJep?=t?P?Og*(KgkLj$~wK7<#O?iKVY@n8HKR> zhrZ}_a4a+_E)imc4VZ$QSpo4dZDXLW2rwEQOr&y;po`viA=ynI#VzckBsjOg%LvmK`B-8F{>mMU!z<+p4t>wSAh5rzuPyTilF!eS5d;4HhS&ehDJU&K$ z;`5Vynico$=XokZnv4oRsj9lY8fdqIH`ntRj#?qx;pI6K7kM+b2g0dx)I{;V#q+97 zRX~#gY%N=1GCsX#mP@9t-O4^(Cwm#o4kdYXXUHK%k|pl@ab8~8ZP7iLI!LbE)6lcR zJGGy&+Wd#I4JCfnUPGL&WMv}R3PwC1UZ;aix}}N)%6M{J1;@#2UX0moXZ`tCi~yjl z7w0r)O{?NJZKl0&g@KHXjE>3;T3ljd2}7Af1+X4ST$W&_lT0^PA{Uck<^CA=vQL`x-*Zs7FZlWy3K_+wwfdFCzg~Q63c7Ve z>9@_L*inM5xIb`9PpJFW)Ig;KIpiks<<0E(J?r<{Mp#Z3L^H04n1<0~L5ZI_xt<9i`=hspX*#_ywCewS43#4D^Zd&l9Q2{I?f;x; zbCgPXQNe&ubzAw*+nrtzNT_CnLMubK0 zdj5m%VCs{O9_5ENEtk8t9}VQWjml6rhgVaaV9=zt9=BGy^epeMlcnLW@;;WHFU5AT zJN7Tu6?UnQlF=#Ld`0ml`L>Nn%{Uyd58qwT_u)6|2tWsQWA}K9m+P=d3QycTh2quf z;0@e3u&Jj#9}$3s!7st5E`!LPy8cDyPj~O`4W`+X-_q&H$YidW&NY!uzhkFh4n9ft ziyOq)E(&5K3#5RAx~shgWAA2MqLz*W%h!a0IWkhQfJ-DHxZ2#JkTLeVIj9$^w0)g&I zeGOXt6$_SakkBy}d>Nko!;?XRj!UFj;U+SQWxzI4zGvWNnCW&dqTeUmf;`Ib6TcR8 z`q~~{aofvptHEmIX`!=8SHO1KC5hEx-!!aG3~infG`f`zPW1T3N-ePQQReC?TXzv5 z^3)JR>#j~Zls}sSpJEGdIT1B;seS77c}F=NitY}ruOiZxxwxpEO%741@HqsD6yl35YfnH*v;!;U@(jE&+nya->!}0oWr?0W6 z1xs@2*k3H`Xqv}FLsqm-Ap6<5x5>ygJXj?)?G!d($-Lz^(=?!d_?@xrg3$z}6XHM2zbl{r&Krhe=5 zM3&k-*;4NuI+idEi1HkbM-;}%?%^BsI6#T;l z94h7a<-jG(18_dj{6(){kigC7m>pQ%)+h~@jN6qY!HKZ$o1bBr-^6{oedJ_)B4|2 z(@N9g-)qIFn^c>d3pV?=)Js;%SKPSTAfWD&G*seCL}HupEFSY-kxQSVN1|LvH`=Gw?R`!aU(Iom`Zx{H{X@sIn_~NtAcf= zhOjs07Ad;WR9D9GWu5P^^j9>KmChrd_)Qv_glAfF)TUKqiT>|Zve4*wW{=HTx?GD9a5RpHhj~;; zE=ywTLkN41>nH@atKMi_9GX01Q6nE$Xq%v296@_?Yq>!nwDyVF?wf>h5N54D#-u>;sudv#a+V9z%(Jn}Q$#bV#IkgwoA+Lt_rd`8&6~N``p;rM+0k-)Vvw-) z^>y50vQ<>;E#0}ip+1#Ca7`2wQ*zmE8h(jnSl9j8&9&RGaV=Z?=9multE7XtpZ(hX zyCzedC6tOoo8>_tXY|n8S$J0IN?{ zrhsy@rVqu%$B;mvu`r%tL-=QI;AdmUVYF1Chwru9~~WOLxbO zePtr-S?tYepOtbG*M&ym)kkMqPEGrQ#ef*}XL@8?Xmn1n;%6=0{-P1xhw!3h3U{Brgy zku8Fb9oG<0JKZ=v)21^5i{(rg1ixHyYk^VlPtqGV{z4WQV82lacJ`#-wQMViNO^&L z$sTVFV{jj+sW{8&+EXtTh>akjJbuI1WOtlqOEjv20xc0gdP%bz{hS$!<}@Y|MZ5E8 zs*1>xM$wwnEsY_L?!RZ2cePaf=Ccz&8I@i1TB@C#NtwpXb2M0l_$}C6Ni0L|osM5# zIA!z*^=YznCO|qsJx@1(&^3g&H43%KBI1z$y2HrUM%z4iXS(&x8DuflYeu>|vmZQy z*HgxI^E4+iA_d6LPUBXG^a}KsP(E=1!RT5qw1!m@P}X;6_kMR2kEo_p^v%n?C=d;~ z?wP*km=VAclVF8|DCe)|Q+%Sz81(aC=r+C{y1WT zohbGR$w*{s{`<$e;E&A3n}r?BfV0!q~@N)S&Wpjsdps82nrUJ&5UWC|!KoA(+@Yvh4KB9R3(W-vg9S zW6&wv^dN6oE&oVmki%>@7PqXIXzJc3OX7}^k*6_->uDt4a8{SgX_RN6=vtR$E1GCc2YDfieTUSciRN?kA+OKGQpP_I@Ds_D99)ZiWL}6uJNwN~&i(Jo4-;o;Pv>QWfdjm|b z6dM$$b;d|0?jXra;+NYwsiZgF2I_Vidi>6AyJ~GAz-v&9-e_!z{!#K;YHy{77XBwVoqa?K`LwYj)|yJMKkYVYn3xoLc?N?uAf%F{N}`|r0s4M_)o{f z6O2{U(6mz|h||Ydl$)_i!v_g^TXqPqdJS?ooS`brdtCk%MzYm~wuYn$-5lZ|<8p&e zf01LrPx@~TP>NSmpbK?EBFZbCi^WK9?98Ui+ID}K?g$%0XYE=E3cR&3@yJm7gs2+u zx0|a5h)kdfYHMQE3(MMt_V@*JmYj774ETb{y>U!TRTuYjw6+@#=y0;Oe+Sa%Tf{s-$qM2uKC4H7Mazxm+xZX7Uq~v z;hq5!vE7)bNaI2>dw|_}kKjgB2}j(lsN+CiHdOW$X|e3f=8#3m)-c_S^A4((r*ch| zfcz$*J?GKA^L@}DeSJMWJ*{j$D*)^XwQjzvuHeHQDID{SE>};}(HgeP!X@$)S546{ zDNQ$|859N=h+Z103aND+pJ)ohj#O!+(bt4Emf#6X{*899k5MLeC6?b`IU);<>cncK z%QwM7P9s)!9ZIz>68;ZSH+I$SM+z&h<`fx9-}SMM-D194Id2X4FQUj}v|N7tGRDGR zk1kotV=1?L@3Gn>K~+wkv}NC)quG_frzLFL&VXnv?hGg&PeK%UUb!AEj`r-=2-+oX zOvTonyRg0b^_=zd;K`&4-53?St71;u{T@Apfx0S>8jx{ZP+7SVONxlSCHl*@BKE;w zuz3=sw*pjvlCP{j!SGD{&re?4gi%O#ox+ zj4Nlc$kSH@-<=K#+V@l0k8eg8(6OhqO~>zgr~Cl0olO2b`O^Khy+rulbQo*!+sQew zK_fIYkj9u7XoMR}RpX7;9SL12N@Bi`e?+d2RdmzaYeURGFO7squ6Nq=4)Jx3OS<+W z=L?YPhVLYoVVuPeQ-f!WY}JfbWA>{NlJl;{b*@~#>y=YR?1a5)y`~$^Z(CL3d4hgcuTBIkCkIbP)#w$d=bIYMJjjA& zztZ(?D&;4BHWHp)%O5i5O;m+ipV4Z(>f!S1#WH$GX7BTd!NWYWB`yqgBm@aQGB05- z_cH%{&%L-MY8OLiU|Qm8ajz09>gZiGv?ql5^s`<3(qKWlAf$0FLpT>E;gT9}65r>! zBw0Hie#ZS{yc}WK#nIiZ7GwA!0#tMd_S~4)5siEM8{b_rqOhC}aDD*$G|YbJw3P)5 z`k*Mx%51t|Y%SJ#h@Lmbp3`&&dqi2mt0L~5uk|q3U9DyX7YV;r8BCe*ejw!3X)lIa ztg3Kv!*VG5&^~A_RLlv)&bko$lG6*_Nke)?9};$lu=i!g3Wlcen+8?RyZY-hYr0!q zG&2!3Vb&bH(R4hzmxBiNUI;X7`dEHw3vondZroJ_C zt}X9rDJs+6DP7r_%FmrTn^5HEBJ_PH^xb4RX2JpipA{aBFI9s$oYHGjX`xUcUn)< z^BI5GRp#o`z%4n_8NZdvHJmf9a8ORKa!t3R!#D0Od%WSN74$4-qrL7*HCX7U_Wf0S zfj(&o0) zy#nwW3BpGI`ns+0x}p)%AIctIua|FHvufsp_HUvrrHzj{uR0zQytl9#Ip(Ip`;5lS z&$BpWme7^eDn1nWxjm}FrJ6AI9#>Up2A5y&?zzBJqi|!Ec{_J^?X=o1p@YR3D8Br7 ziv@sAJW+*(P2C`^gvp9H4CE=t-Vk*}?rj!_@bB6W>vdG8WsV2&8yKn5HIPlLZB29UhHp3T0-I@{QJ==vA7nauA*QdhT5C zrrxjjjzu*Sp`idk!39RveK4OC0zWH88(7pW;W0hT$z>+d56y#taws)Rpy>$nkL?hY z{aHTWqakY+>|t#M8-F-!%3=aQpw7&LJ&`qvYzkYL&If(D$Yv_c2;?H`i8y;2o{c)5 z%09DPL;(`!L(YE(3yQTu+i1acI*k>! zWwEULQH&1Dqm$7x61X>#QFRN5F0m}lglAp^OO&>a}`$ua$ zY%AQXrrYDKnP*{W_bsG}YkV>u3x#D{=8f>3+ceqSn`D*TT&yXS593yQUmu8V&}gfQ z!Pe8hT>!F=)7A#c=4XTu2=WLZL?PXlOUdUoESB@PzJ7hlT=@5NXa+QXdd1?QXL|9TT$%jc(VS<>0p4(2&&62l~W5>w(0D^ z1>w-!YrgS3Ba9+%r6RFab}=46u@IJhlogFL_C0BKCsmxFafKFeU!>&uV+kR|Tu4MQgL}hLYb%hhQc#Ennv11=>bFm3arOn&RH_&K5Z+8CS z1RWZC+_VrmKl**uj%u4plO(nf=&z-;6*m-87HtL^g1aQ3QXNPYe_?>xbZil^rP3i5 z??~c)7YJ=zbu+SM6XtA{ZdePKpD-`6N{PNQ@jw~t?@GTR4Ca>!9%Y^}ZndMod8tOhSN_ZtbdBfj&QGHdxYiX}LEutaE_0U-)iyTg+bbbn)F1n9=dQ zndi7M3f)h-_RX(h$PbUrsway}WmzmTTe^V3nW&g3>eOGb`*hVTjm2xkjFPjj7Lm%M zzdsmUSb|#3@`t)VS`2&@Y$yu_hj=Uu3~+XgP_k^bc1I5_tUYdJEAH$zVU{`f_D18~ z&8|5;`Ef%+U!q0o1*+`Me4X6JJ3H3f5s?by4NGQpLqh8~2B-KY!$-)4o_oX680mzH z=atpqbhnl(Yw`XVFV{5^QBtBZew*W)(Yx$HDQ(JKeS#|{QdRhus^bT z_5N^#v2CQTK#T>Gbx11i%GhY^!4-Jyq*$=-U8L75Hn9LlRhO}q^s4Qi|~>0U_ZwlOom zom)(NJTNK^Q0N9xbIaDwk(((qbY2)1`{A{06PFkkp8{R`;3br-*AkB(v0N>sESR`{ zW`qXsORI}z&gp?c zkk<;NLYgaAN~R1qLbN!%q0^*%1@*OrI$Q{>O!2)F)H-C(5FX=o)hBN#W49?MV_d#4_P#z(}vk)9mKb-NYv{9>dmac7#zUU^rHRiW2afn6ZXBzTIU6 zv>!9XYVuhLzEnBFDDJ{HiI=5zHpB3qdt<7-iA0GC)<4-iMKu>xww0+5`=pn54Zexo zQ;6#+EDBPROjr1x{NtuUOhUruB*=J+)yH$O*Q&F#9tVFbCLXXgc)zE9@Ao&a8Z0rp z1EaINfS*dV2%<|Pe|s`48b0pcBJIlLr|u*(-MwP>un~{POV_mb|GC(6We^Yn&-CfefikiG20 zT$i-Eoes^k%pB{lSuT>s!!zC94Rs?sHN&^rC*6_n(+f+#l|Ls{#$RnvN?aWn>Uq;s zU%NsjKF%p=lV7@+<+{7%8WN13Uo&x4Q`Ovwi_u`3jm^75yEDbp*H;?+0jyzB`@4IY zpda|8lvMtGNEExhRzA#O+f$6JRmCb(JpO!t$UGA1d_m*PgJ<137`S^@`jj8y*)m~P zmYyZ5m6jhxm|Q5xILh?B`yHh?PboQ{3af%~>4{#{Gh3ZP2X z8heWyXd3%AkM*>senY$7D6jUuC@-GScL~WDnE-240sz2W=)HKaN>H-Fc8d^Uc{$;X zthfo>!=yz%gvjKjtldtojeis?Rt}uJNKi_m-88KH;;gR3>~B7YqB3X~wU~-N_d0PL zXB|LvN&WsfN@wnkym=$6pH{l1yEeyumr~LP^Oeq|68&>Vh}(0Pn)X~&O5wQ^DxL4l z?xK+*Ls#coT#2hw1{N@Cg)#}{fZ13XClo0EZIM512=0w{s1oa57@<&%}DPo{Cwt`L_-y5rA=rQd;n$j zU8qrxOn@kzuykK6iQ1U=i$9`n|c%E3FRZRQjZ~b0wnrGdsG>ZzT7s$dF%x z(y%i2?h`JlK}BvJPabW%W^Ly^pI1g3SMCyP^`kpct3&`2NM+`c(Xw}`Wlm^HHM#Ff zOW_*zg)w}iLcCJCKfYA?Uz8fqS=(!m2~N$qj%N`PUw%Mpuv84Xw!f!k!nvkcb|@lz zE+m$BL)hvjdZ1|cc~I+^VQh#Z0jY{~y_ilGt~{w+u^~{S)=iKc6J`|)A=<%T&*|{n zj(Tiw?@rJvv&XQkXvT)nmm9@B=unq{CrMF6fbdJQ1%=5qV3UI@kL%>p&i3ZhHq5ij z#FeOuI?kR*m&BdhKA0&LIc%>yAH+0e0ykGv zu{aYASSGH$n8h#Wdo#)+aA&8J-&LluCaT+eT^zfIPbqFHi+$YlOg@NwyoSHdb{3nJ zNjjhKVw^lG{IW9*qzGBtkryv{wx`Vz;dsyYuWOGg8_S@CdVh(&9ym^+m3z}fEgzQd zZLA&A^fi9MPdS71D1IIJxsmdHB;Nk@10CQx1g;FiCsd+?N%w?Hh8$gAhLOE{MBK7R zuf1R3!HwhQJXkm0y@0ZAEZ;5g^1c6VN;S<4JDMPdMb%lnzB&&y!Ra1y>R$O+u8oX} zs|*CbzN;uR;LrME2q#|3hbr*EX-pqy89>5eEP;xlRR15dRM+HO2f}? z1)3(vw2b!u)-cgaBjmj18BxBN1(R}o{MmNB@D<|euImiMts;?h#XoAz2IBW+L|7GnT3bDG?uu4u^c}gyfBa-Ymu-5m#Nu#3s%@b zTqmd0wE4K7vcM^?5->E#?O)9ScX=EjMrwkq0(82A{@z`-Jvr8hIz-Av9t8!eC@ztE zcf){7y^t&f+1Y&?Vt~^EcJiQmZ0!DAkh8ad^QzUSfO1W8%D?wjvvP|(eReGG0~Ke| z3=d~ffu6WP4H+vwxFkGvdH>E&>0k0+&E__D>DV*EwC%`FLGCM%9vsT&{vrQ_!5=Mi zX!4hPQ0iV;n@U8`i9Lnw$x`2aovp7Kj6>Q^tuO(0W~%}e6Y`j%!Mpg-y6H2=PyhHM znmlN#!oA|-0yDWG9IIDO38}A$TlP8kjv%*0h7fJ;ucw-Uax#z9O`Hd)Fo}U(t zX{Q&z=|9S-{CIVH%1fn*{TIhOfen^@<09y4vq1C`#X53{O|7YGw@v2aVm8U)@lQ*E zy*2k)-wv32-U@Xl7yI_?Udj$6_uDDO>u5c@wo;1ReE0i_w@ek~(m$t#&Dcw*T`=1< zo>)iJ>O(jCpSOnF<8^(%1j%U`I)dkcm{`u=ZUaj`N*mAl_+w3W;u$;Xdi`ev9zEnpA)$k&rGUwzzl2C7be+ng6{IyH|DGiMm8JMs>lKfqngOg zfRg4XuS2kri$KQiF^Xc-N^#5T)*3UpXfq|%d9Q2vrW*u-=_!as32XFF9i&K*di1c70B zc|W#caB-)>LFPj1fmAE;OkM?_+74T3wO-x7tBp?P7r8h8{6BeaFtu-EHgFge4#sL9 zrTl(XaR4=P@CJzS%P#@XF@b;QFOcu@3Q(W@LIYm?r@TfX=8ZZ>^>zI2LB0d>FX|9A zlAu3o3mHdN0jnkeb^*G9 z%X^bU?WzY-+H-2>Ud-*+A&9Y8p1YhA*oFegKLy_8)s&Oq5aD+5ByV8P*> zOF}8yc0k$f;{Z_d{D(*YphP8r9e`h4^}>_X4pjlD;adRc;(?6$UJHNoUpD-=TGS^% ztqOo6e;pXD0Kz*%!iPn4=LmA1hmZsy80sAWN?aoQ0J6V6fD;oV`skN&?R z0;JmeW*|5|!q6^PxYUlZzcPI_SK?n2zn!}sp) zKi1*i_=J|D@&Zf_*iV*y7yT!@21dZU{5|ad^&HsjhfQF!_IZdMISmoNHxko8FZx$9 z{wopoUEjb{F1vnYYT3|!Dr?Wh?|fYZj!7ADrhuv8TpJRQaBc}Z#I^Y%{OYI69B-gt zEV+$^&Rm|(*nSTJF$y){;fThrgShQ~(w@`Z&mtcvaHbZXl%XCC+*?H(3M*c*DB(>~ zOO-O2l-X~1Tq2hKgVJw8!8iB!02BfY+zj&a?sRE_ym`;Wv(f!Gy)Ms3QQ*VmxkD%C z7_8f(zDM7&$wkoE_{9ymuN5i<9yUyAkAt`UnXH<%TGqYK3V&)gWSNGX_JM9zk00iA zl6jD!-ML)ENyyn1Nf2X4lKRWfcYtr)e8E;zNLxjL`IgRZEmFg7Kuw}R{yW_tk6yFx zeDZS6Q%mAO8eqgY6k!+||GNv`S;P1X{0L*w;l~NH>~V;jrHurfo{~9m=qiH>j{Bh&QFo=dCVY=GoXf zE>uv%E0F`p0HTsxq#Zu3zrU_94CFujkBn!^G(ZxMuqI)6^x@$LAOln&;V8|l%(MM2 zd5Dqc2MD$d)-cMy)T`y11N*wc12M1VKQ4T$lwS3J)I=mf|D*H#`-rZ8thiaM8GhL$ zqFnUdEA2T>lVe;IGTO93G4drJ!;fX#L)ENfe3Q0^fSa2KQ|ujYaUVX@v}*>basIRW z)Z9xYr$fd2wI<#EsT1IfI1*|HzzI;I2tRUa9bI(+>dVX=WYw zfHE7;Bl7`MogAEVj`u>aDFQe1)a}WK2V}ePzGELL_j=&r}=`t*MLMyZL+znyb6@TiTp;!*h7;S)*1)Um~^HL*gxl5yZ3^ySVx8hgj)9-u=2QSh8^A{yaWE|zK9 z?N^~($l*X>o^?qzC&FTY+hV?I_X3^2{4L2)c4pBz@k94(MS?8bJlk!fcGci$TQLeV zo|Ovr_d)Rr>T z;~(07wSy&diY-wj{M3XFojI_GOC-k*a4*Bu#k$kM1G<{vM`4h{$`#JO(vNFO5K z69)X?8NjAgl+k1`QWnPtNvjYz0!Se*0&Ts*#{cPAz}lkX_Y4LWX#QiwRsv`51g_j6M zoPNkyJQpObB`+kcQQ}x_rvK#!=~YJmB4f-YG&C9mH^V-0nkKS=AdV5w0)9!R`;IF(p?zK)1 zUb^Kst`}6iw^LiGIrb=ki+RS5>ZY&`W|%!O@z@7VfNt;dc1Gt{>#C85vh3v2O8YQc zegLD#8PiK;jE*Ys@|%x8TIYU6@1e$d7NIx25_ zNYS`?kNf4Z@PAXoe+Cc1PHkX5$VS8(92Vz)`27DiPXOc~Jw5wiHn<5po(=qS4vg?L zKW>&s!^Z*DJ>2;yB-mwN>VKI}dNhIcPPQeQS@-O#oC7`C}~nM}8Sh^*-m1a}YpY_YZl% z;iq!{oFk9VSpa#^kvu@UpK|XXZ5(usKaO>d5ow~_YGv!7!p9S^^N)%~>ppP_dn8t6^Hbe(?wNGmX_xc=!4z;Hs2 zN@ks`W~;2Y27`-MF7eZhvj|6c`2Gz1wgwz|PWE=IYUQV4Os9@QvmM|ewoC{;j=6;D z5_M$k0cnsn&qi~)7JS%T_@WiSL@i_tbbP>D`Kvs%EIYal6~inF3a|G$P^speSHKdr zLNoin2mAjxxOV7Hyt!?$&TjOl9=H~knW`aiL?SZWZhx4*!Y{&Z2{erVoP{0xqnd&+ zbtj(wRLZGm=TA6Zq82IwEC-w^u4{aOiSqQ?N#r$lOVfSBbs)iszzI z7ajh|wOh##I4s={r#6jxXdlq>l};VMb9`zC@PcSPdm+=gJU4~rpZ_*v87wQKpRH(S zcf9oRp@cjzO_Q*@LyP*TDyn>DEbwhv|2Yn??U#PFf5+mnB(1xcuD{q5q!0VLY?PM0 z7P<6v9d~zsDmGb1*>8ozqkh|2)Dh=t@jQu1V;zY%6nH&fB{kHMk3szLF%CCsn1(@2_HTGjJ zmu97FJOD9Ic8yN_qPOEIRs&3!n}m8(S7A~0J?G16gOZol7H(EIaN%LD`e zJ)qQF$^(cz&O$uH(hCxMrAx(aptfgEBE!8&z2Ui%(Dqhmw(RtYi}I z?jF47sQzZFHCk5Sr$Ou5-`745$1ez~Lx|EFS@kRZUFQae#`DpvFVm0g29i_AIJ*cW zamhFT3%2kq!|cSpU+8<+1TQ6B`pd9@&9Y{{Y4!n(?^@ru(F>su50j`%?g6U;waxWE tu>h^a{l}Toaq0o`4*>aOjzQA7awVpv2<|lr;2%H8loiz#iokzA|9{paf?@yw literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/HorizontalDist.png b/src/SketchPlugin/doc/images/HorizontalDist.png new file mode 100644 index 0000000000000000000000000000000000000000..29789230b551a546a3595b03fc3aefe4fc5c47a0 GIT binary patch literal 20806 zcma&N1yq#X_cn}xq=J$n5(0vNfRd7BS=Vh zcTC>jjru&l-~W5x|61=_x^(8g&pG?-v-h>Hz4w{02ihtZDXvlw5D;8cQ&oIOKtO0f zKyWUEj1c^W!SD8C@Sk(;4^`v|O8c&@fggzN?rYsAAgG9<#9E#QKa)RKHFhT;p!$0D z_gp8mz#9CL$wTRphaS|{!`sr$hTyrSy^DvSi;V}nsNiiu;X8Zm_6Y<84{FsE@9X=R zZ>5u`(T&vCb#7?6xg$5k?nwL;b7&g8!uU(q^-Cz_r_9h7PE--EJ`jdwkrmwJ3+L#H ze6jiRLO45BB_-L*%@0mQU3^_FW1;6tc1oo3br69?MfnX44S5Y10FXsyuFGl|P>Ze1 zU66fn+j*i|EspzWb#Q^;B|F*6MXgx~@%>9&Y(&6yvZx?-RaUNLcS z#NXE1P*9eai#9Z9oeXxe*z6$S5;0yOI^Y5}g#8<~?$Zwfg5Wq7f9WgA=f129{(eft z?)bjOC-LssC#%CKh#42Q&V5Ft@Rk28go|JANrcmGJQv=yx(^&ky3bbR!(D`xsKL=2 zRU`OxqMIV)GZ@C7L^O|>2LS|K3@?w^sv?=mb2$sSthT&442Ah?NEIWrFJli=(c z83@Kzd*HR))IL+dn$mSj!!XAh?Z;GeQ(2i=(~6e)4M2}oc;4-#;c%;F`9VzTV4e~o zHIAfhFK6L^zHVidha0hG|3%-f`3- zO(`+1vel78S>w=0(1x`{gQuQ~roh_urf2><;&pkEq zE%u9O+rGwoLgv2~bJ3m)it}!}`JkNp4JN}&H4vIdBQB#K9p0tWQEW`lr|$z_?sKIK zRMpcKdS*Ypj3H4h*5RZQe%-#PI}!WjmQy^71m1D1e6IC1C8H2)`?}g~(3TTlq*->~Y8kUS%#wB# zMfs07Ko9-BPxBF;zTUIxBRj}?hb_S!n05(y2v?_midV{WJoO3-)??h&e?{0bQ+*$E zazQP!$92-VPQV{`bl!PF)8&V1@y?y|6K_6!sZQ~{Cz9sgCke+cu&szXiqEDvN|^^# zya(Rh7qQP)D=EzPU;pvZ>PkZJ@rCRs8>25TGE;bMWzBq{b@?>+#uVC|aHNwU6;%tt zY{tnY`}89t>rTgg0-Wt};q*FNcs!v)RzLX^RBn$#hsUQlht_VtRG=~zq@y4qgk zb*T>=H`*MLRKb+?0=UGL3@{Ym=~hGwINX<7bx<Nz#95uERczkz$ zYcG|gFS4A9Jkx&sHJ!X|vFg4LzPD7PHn7fT!<(|s$)enTvI$z}wK=#kqb(Cdm+)NG zP1PQ~#zHNsEezS%3|c(tmuj?|AF^7^Jm{0|zar^o6Txs$hRiUW?970z^j@#}s9jQw z)aY`Ue_?w?%2%YfzQJ?jT$1_3?BVd*X=X>i*QR99`j0}tyf)Md0%@=JK__X0$zxa{q*9H!o1(52Y1Gytp;C zmmBWMCbAPJ$J45ub5ClNG%q-sEv5}FAJ_nlacO^slg+;ZqyOM1?$>}pcY=&P_C z2xZk=xG+woHT%5dU{;L#$}!^Zp4db7jp%4DJm*ccJ*KqXV7tM8FmX#!pVf5PX-viu zm*+q&_oHFq9wu6NFd;Dj-vRh=Y6122aH!@Z50BlPcSuw(RJ`eEv>-)n28neuadwn| zZNJ`LDEO_8_>nfOD6fS5@ILWi}bOUxQy&H(rmgYA-;f9oW;Uu z8FFZ+imN}sP1VVTV=<`|GzciC6VFq@-3;u{0<~ct6JTLUI~_cWi|gl%EDu*PC-#O}+o zvvs79gmZeM>-Wv0)VvZCqe_n(&Y7hxnS2{NBxd#!GsgViuq*f_n)OXzA*Itm;KujB zz{5cmHOK-Z>vifuiXxTG{sL``+q#0cHg5dFx9dfN(WI$k0ap>^Lani^8VoG=H^1AAo(vT2(~N}RX)U(MUiuufu@sO2 zFw^D+*Iuy(fK_n)9)-0C*{@BY@9x4|-E3tT+I~gap)O$msKQ!tv{PFhUjzbXAC6=o zV~tX{^G)yY&tk+UA5_QzL%=SIQK)J7V5o?{3hy$2^LAeHkLv*-`58*-oqbbf==^Zx ziB60CNTI=So|YQ4`T##t7N}I~c&eCRD3%i2wYFfBWOh8DKs{1qRAy4+z-Z<%h#B+_ zuJommpL({;li`yEO7!&9A5pp^;)T*^=8ipHm)KEdTl=IG!SG`%!+$%|G2LTGZJvi? zOy6c-KmSWLz_}&x_*oAE+6`UTw`wImXw06hV|?s6Vt@p2AFY-*ZL(t(5bM;CLS?-4 zQatc!HA^oLw?V7YKauNQj)~%1AG^5A_L7)o3VvY3Z1c3CWJCI}0;GKXHI6^QKe-x7 zL-wt>nVN(h`(J3!-&S;)(IQ~)$49+ip1NMAXG*PxFyPB*uAQ!;IhhA4Eb2rjP#AvIa`e_P{X!=* zr}Du5#CFM@sJb0z^ITsQw9l01PZsFU?bBf$A-}oTRwpw$ENzpPWBTOR-;ER-ADr@+ zU4tJRi=|;z1~Q_0RM;GUmiD@Q06sxgMVtzS>reMLNH3abPH@`xiE`_RuQ1C_9L_X& zo(H4)r|kxl67$V|ZT`({ib-v3l^g@_>JUVVZD#X zc`l|mCD#m<#DUfWcjGv+}I=r8UFpwS3s?Ord}+<2gu*SHy(|euB5Cb zT?d+fx&N{d`ZU`QoFqlc`cWff(iaEwPqXbTcHEXFmvtnn`UD4YKTE%delPvRJ$HsL zvFk@B4_BydcMNfQueX743i^P0_#Rb9uk%EcQFceX@;kX=(o zvj$2%NRI;(C)EM9Z}6OIAtW}o+PkNF%#}^&*HgaTdatebz)dcsD9$KXoxXA=$%4un z--y|69J%wg8JO_6dT-xncGOg;{&b$g$Vd)X3^DUS(dPqeI@LWj+jpZqy`uIJasV&j zo{|E9_J!KGL0|N1ayKTi?BU8DC0yT1uXP5KFWUI2%Dpq!}6t6EEi zo&`kjhE`lTzCCXc-J**7MUYykS=xlJrDCx?i_XpdJ6jW;dimPlyBL&|zDBa}e~^7v z)ha!23x7H}Go&&^W6;_H z&{|;0Vh@;vtwRG=7dTDY_mYar#t)Kh4Qt& zg1Y!1bOZ-Z;E;nJ5qn(L{J0$gHXp_#`1tP2Bc5j_UYWtsGJ<6fGDo-SW}f$oRYzoX zzaV!s--6_VU~uTd%APx@0>e*k`@VPb#+95{1Ue@;x-@Kz`mY<63|6~TtypZ{$&gCA z1t_s?)6DE3j^WjD*%z5$h0vz0FX{%YA5xq4yZPDtRx>AR9EX*$-bf6~)_HpsRnI|{ zCaXB*ijOq%7|6u8Dc4Sx5eJ5~ul9gZv!7=LkZt8j#Axnw{fG_&5jRK<_4aAm>u5+a zH^G7&m<`_a>He$flNn$>L-u^qW4CW&KYw7ipuoWy5b3&nLYf!3OhKF03n9l>npO3u zF&?s8sAokg8QCh$$EcOE0=<==JQ=FU`f6S}=;eoB;}(YCMA?}-@w;rPondYGI2E$k zVb>+3fwEhdLpMAwzyAR~yWj*lP;r~>`ZUnWeK~#&$zS^EX=^ZfSqpmoEt8o_RAAtb z0xGLcuUoupGVaThAhx|1E9$Jahr+O~Jbdffn!tEzyU+5-eR)<1gJM+<%MyEe_2HE= zH=~k9M=^N8UX^tuNfc63`qdey$x>i*8!6{C)ij>4y%{IG1BB{+=ChIiE-J8;j*gEG za*%*Uf`pki-KBnsVc`|EI0S!6Dlwy3RN^CjVdP^1`hm{6PBsYnnJldJ^|rk!?|{8G zSGAd2!2dEK;O3d~=TlUgS>jEgpXNx`dGYuttps9AIjMrd4@WbA`HzMp9<5ZWQZr0% z%+!@Xgf&W{|}pNj~yRqxvMaXU6a zK4DgPz)2in#P_8Y#0wxA`z{L4xy2g~=*MHpCit6%c0|O( zK7WJ1yDF9y#f1!`<*D6)L~wcI(y5JF%D%})Rl~qYK`0e?Iu|k%a8S`&3;P%)*Q5!^ zo^+Id7i<<)*ymKWcfj*`%PJy*LL#J^24IhO?r7K#-)kgB-~D7wwB^GsD7*Xx?y_xx ztr9DKpB8Hap*%kn7`a_*)laV29!~$xdq$x7WIAIM+0Q#o#hFq-eUyV=#&?LPrjtiR zMrPC$`5r7+f1~}n_Bo()ZF78mhS2~@nma1xxju02TNG%`X_uC118)0!A2sNJXliis zYq-{=MqFa*$LZ%FLcaq122#6pviQ~d^0@|t9)1C$Ei)Hf7t)`t=Z89ER!@MN2cnut z*|<=b9oI*gVVx{>AWre}4m1P7*fwg$dMuW9mn{~#*A6FUicHIY(yBM`lScq|sA825 zK}ex?^1!${`;s#8787p2T&a45b7V@lF;@_hdoPwlEQauCP7SMKctylt;#>BzbDcrU zvB`u|1(nysDb=-u8I#_4HfPFbVr+MuCwVmRN8jcV9YWX`xs;iM$Ml%a$|I&&y=C0+ z#f|dOMY8iP5cic?HRiCYKB@2c9rxGNMR@7m2vG-7a0P2yz zXE25oDx9X8C^X<-=M4mHZ7G9c%P+(GOD+!r+X=zW^^6oz3N{}Ofy%IfJzUC0h1f%X z0*R)jkgaNwKDI}9%1I*Cl=x$<-Joefpj7rioaR>?2W!_Wh3?8S@0iQU{ zmB3GLA`DU>xM%rw(?ZD{lb71^Hz$#0KNtI8`5xoVc1gE#({Y#+IMT6BW^ld(eqhLC zGt}slT+Y730Hb>W)YjjmbRI(&j`j-D0upqQP>K#qWa|8yO=|YECZl`&J@c`Bo>DI|vRJ z)8HEJkXuTP4T{Go!y2syo3421))Nrv?91gwvW^xgdmrjou9 z4DO|kk<0K~Xs-tj^Z=fcdHbEEUhN_F$)16cS^IiMW{HgRDfg5=)E{ZIqMPQm;$8;OhKvZ z$L3o%)a0ilc3$BK!YXk;F>CKT7^gc*Eb@iqxn(9cepw)E?lrNnqkiVc0bS13$M2X= z+z@gl=7IHt!#kQ;;W(a|kNd}`TE}ok>3U!_Ay!_Ml{N_*QNzt;01QrVe>;}lE*Yuz zYfg-kL8*6UAO_|&q#>hP?29Y+gKVbZg>}v$hsqh*>HPLbL@>gw`Gb!>NASp?_h=&8x%2&w8PJJb-W2@^nZ&)zbUNC@EncDY}5M7ed!>% z$d}>AhZ_a+l@Ddbpq+jc;^6re;CiDi0a)$tlYoF`ymR@6V++rLEY__qBm;hM6qTp* zxF#DV{R#@*JKUD_w>BbHf$q+nHWj7Z(<6SnX)8#&Vtzr>)$nzlgUd?27N#;EljFXio$k^4h z&CHfCDVM0_M#Hcx%LrM$_xLI^jeCY?mAL6R(DcN}7Z~xUeHaTd*m?;!u(`h+J~)wHdpW;g}l*v?_v7=IBs-%{r2Gk|GFG{dEmsq$>MQ^ z<$)dPyWDvJfr+=N`1;LocKe+1=&R6#S{{_=hGM;x2rK;R(Pd=fyGc@OP=6CnCnVn+jR9aEnaBEWGz7Q0@%y`Bw_$rguYhKSt= zV%WYsT!$3%=$rhy0=w-`?byqqo&^oLyhBz3#bMIsr!w>b#Na{jd*qlx7k^|YcJgU|COdt)sbck` zAxzo)Vg9$C^AU=!LutN08RXRPj>pHJBXQHTO2m6uXd@|rd4GKO7oo4aHvtOssZ3*QkcTrK7W+v(2{dNGAog2u@ zt`k%;o>lET5&&-a-jXzDr_5AIe+0}b!@pwZ!__TwPGIV!hyPUvjH8GwRy4I1`U{T} z_sz07$uK)2nZCYw(q>l!NrA|Vf1=cTveED^!@s;Vnhlm?Haow>Z(}52yomI80o)V3 zTn%j6Pi6!37g?Dl;aWT2DDh=}&Coihgo|YJX%gJE@Woe+%x?>h2eC1gbiEO5wSlfS zjJ&lG0tBUA$y^5)WoLMKI;9^=x7 zBDw12a?`I~XmS5K`cM~&orYyi2U;Eo(n^PBh1@bm^;Qic4`>@dk9soSz-E%gTtW*e zlvAFIZjR3fuC|QT;|BJ!TlkvGmpQHSd!h}9U1Np>9IsM+t&e=Q1Iq3*=<2?+uQbBMpoZy8puMS|~G}g3YcVKbV zVE;)fqc!>c$9CHiBB^gb3eugfujjL}JkI_yTR30+EZ|)-zg!&d;oDPP(xW#?Ueu#E z+U#l0x55dmS(et2>hCg64`9@^&J8CCH;C14v|CWYvT`Dyn}_5^AuDSyvUr$doHk-E z+CF0OLh`#V9w(3w@SK;Skil=x)~s+G@Hp8sd<<2Y4?5<-1HSY zN8@q8OmogKC0i}pYm&rx|LVl~?eUG%jzN$E>`ZL!0g#mD-`n}!CbI!E%|2p05&H&M zK5h#EeV>Ae;1VlH-ovNQXzTBFVvK>otQYLQG^l}2m6MrbdJ+P5nP}iqy9ha$&ik^S zQok4=1ScXP0?u7B0h@o{p3GzGe*LR6@SC|o+zHC2400}`)-2Ji&kZ+J*@HsLNCLFRd|*LXqsWacpU-;Mk)Q~%zO{2KB`I=|s& zlVV1&aQo}?h7=JtU!1#fxi^sK`pYO?L${Ik8W#xe`LF{O;dYNPQHf6~x*6!W%)_TN zT2r-S-@1uiH*WoEP!fttFP-07%89p53T-JBhV}=(xO=AiYT_M;8EgA%a*3v%*=U52l+x#B~j_w!%EDHAD=~~KN@}%Hgzu`f2?OTZLjRksDM;=iU^*@)ovp6 znNeUJ9j@w2enf!}Bzu{^__3X{r(?o9Q%xJQi18aH!exq6OG1?~k!?2*xTKgpFTeT`Tv_xio>aI{ zr#1P=h?{nUyR$HAlP1f>;A!TdldW&vgpWuTf?h6ai-g9@mh>>jZ17{gI8rh+a?`5h ztIXSNlW1&|@lVESsLXmIzYZ zU4Ui<*?wjZAitn*j>!Ugq6yMUmi3c(47&?*79cT-44Pxfk+dxg6dTH&u2a<-1yU>p zT5+(@W_inezwT@NS>eZW#j#C17@5^h6yuqB(tHA*iRp{S@MrVBxcl|)$kIHYPR|&{ zV1?l=+ztHpwUMKY^+(6IsVQu-r~B25XKz;-4{+{)P2y~Y!QMb}$%w$P)9Z#eCJOx` z#vo>Vwaltx#%A)@3iSM6Q_XLStgU>J<3kXhIUU$PNG^IJIw%%9GH=-P%!$4tK1BoS zly!RDq2?WZN%(t<0DYFM&Ovg26J$!5mS8JMxA{a*mRi*N_&mN_KLlAy9FiUgsnoPn zS7b$68Py4vrSGTOO5CIOw}Y8TJ;)E^+n0-L0dBYy-+h4O^rfAG>oNR`tUjlBJCdxj zCbEaqVAq#S0CXnn+o0!yR~G(y?i~14FmD6EEhJ1pFc*aFBdz4*T(j?sR?KZv8rfGJ~n z=@TCCBJD4diBw02c~ac}#bW~q-2Sh*zLkLjTZ`=?KqT9X*2kT!5&jnd{g0=YKtm`d z!HX=cB8RpU_&kLYczC(fEBpeIJIhk)_X_?;SwipZ20a|AM%SD zgi}M>4**syxh{SV68p+zStI;cSJ?ay9^G_@2Crhr_yoEsD>L~qX@)rkAtOe$J=T3L z5EqTLP$->(F7#M^G{a`=(<0l_DY`0mtg(~6rDe5C;&Sl{wDOQat{UyG%_KH7>qYUj_i2^c+lhkPfS@s%e%+g!)-c5xi zuR4F%s|v9KPIb&!LGUvUI(1VLW)_+Os^fF)HCY)L9k{Fbgl!SeKE7X6o?83|P{h-( zStAD2f53IO3KD*q{L+$aucmXR)j|i^ym?RDQ?<(bE+SPW;!3G^ZNF6?Vs%K{k|iF$ zX;i1<=oOLJ=3K;W?6!@tQyYOJdL#(QGKxyklbZ>bpRDkGt?Pe#g0rZ0Nzs)2{?^Q> z3EtkfP(x8O^c>T(e%Hn4RySO@x{H$1B*MSx{oy0eB@$2eEJV1~=>4|owuX;X`&k@n z?`R>f^chkO+vG~7wOBStEAv$Y02z&LJZ6Z1-A5BB2_=xu1DuqgIXjTcy)TbPKdEOCRvpBA-*HH3p-Z>+9#q-+7->Vz3O0SaM{3n?Z49={ z7E+-N3U4#4(++2kUS%m_OO>q_T$X;I7&k4sCle;PMF$h#8cRzuo04;Gtyoqax=dcjp`zaR;|PqM@xx zBm`d+@ToN%gqy$lgw1I`V%6|KNEcXo(q-y8Db|Mk3}@$m0f61@9_xIdEm1QH#z}&+ zxy_4#W}LgX`yOw~dd}-NT_J0OC#zBI0MR|?|0szVYe#}tkO8ymcL+OzOES9%TF_xl zx-PXTED|kVJEe}7KKtqntajOMde1_5{!$l-pWvbW^U(u<$(()ef+K{Y2&DgICjUKT z7V=>GGyJR#6#Hcr&-{CQ7hEJs^cz43T>_o-l3IQ=S3ffUgR<3YHV9&ZtM8JRE;qHUGUm$qdc{npfR&MoQ z4Cg<40foQY`CItmB;`NCa0_91fJtWJ3Jx~PmCx`Gzk<$``;bz=_oH{^h57u41FpPm>1*Z zj@n7w8eS_}f{G;PebV;f*B@zBqpcDMFI@9p|MCV%@&vRWx%%^19|Hj&^i<8`5&8as2_uWd~@<#wfbf@O1TKxPj4kS<{mvJ=e5y zg;p20z?jt$TJAvY2G=U@fI-dHqVn*&d2Q(?Cd0@~^%9R5uDG^~{ViY+yi*05atKz! z)0KGa`WcV@h3daB-eoIIQFL42^)TG_R>C6<->{n5)S6)a#^HuCMEF85OZR4?jB9!} z&oR?s_}yBgwmUbr>F^qt2KBB*p?>{w;+F>&N+=fPTn2ZZ0tdr_`(8Ss zHnW*Ec5eAgY8IU6cUF={9kL1hawwxmwwTgfLaNhu$itrc{V>V4vg-7E{Zy!XLD9%n z{pH-AQcRms%nd$8>XOxTo3b&13W zUGMk9(oOgtx(!8XeN4VHvzcYWi(c%uCg;(->+JCqrmpS**p>boeB|is1d}-bgmt2! zOWd@`2hd1kKCG}NGq9d&3)*C8443s2%H^7V(oq{k+d1FzvA*EusCpPPtC(@|GlMoR z2R?%eDl0_vEij?_joof2ydC>s$+ATj{`+>cCwAOx&G0)CR5rC77y?=MXX4v0KMDCm z##*CSwFMX&KLiZ3VR=Ag2+f#(U!qPxOaum-ZM2Zcw|6+Uc?~f8)g*c`dk0r{lW_Al zQ3jCq@f12d2%D(uQx z1Nkgt>}EObC;y!XPQiEjZ~xwo9VO>ys{f*YdCUaFQESLDj?a<*l|TMQye=1D3-bKQ z4xVUcN4ng7<_imkDzNUSwKM^ff{fK+`5>nXHLGmk;U#YJKWl~EIz~j#l)^}#8BA2J z%;rszN|-o}oxhiNsei1lk=kY2YCW12;zt&zcrw$q_9v_z8vM0o!Xtzr#3W%f0KU4B z9%8t8x7w*QGk4}(H1F!U?9R8W;(m*(UuY>bY5v9}<2A?)alwSv*V{8^hA(171zjpN)R`qgka4M>T2_@AnAdzwuldRKW zm)5k0&o}Qp!lmrwn}1_nWj`D5%| zQD^rbfBfKH`BSijkk%y!gh2xX8!@xp=^=76Za%wLL4wY6_#$TPxG{8p#@~_S@RCWt z?R-Hp@7MgR>=KvooR4JFq5(OxOXs}KN)yQ+aCJ{RGm}lJs2^VhuH%DQZ_`XppVHCM zb#V%G!-))4RS(OuqOWK-9A~KXKW0gH(mk8wIez%pGP@KT*61PEPk!~>?c6uI6V0)K z-Pvfygf8`B29{Y{?UE?*IAbHT&Fr+D-n2+FbuJgTwv_=Q<)@SM@33hqoJEGS5cUE3 zD<+h!XHf+C{#TkzQWlW7byw8+U@ovIstu!KM7+jX$lx%ScxQ>jzsPV{MpIaD`4(^Z zacGUYXM5jRf#SiVJN@C|`q}CMLT$j=?3g~Kr+8CXFsuB=xaKu65t}i6qD8I-LSwxv zAUh-LgWqYSXACDQd;~AgdvtzKq3(l}p_$I7?S%FWY(9%}ws&IZM4(ptL7E>arl9R$ zjM=7u#+x}5$RvH&Ry(C6gNBBmbE7v4$~*7O(tUXte!%(HX>D*g3s=kgmKDcE47zTI z7EWy~?PV73u%vojKx@kS1fi+VR@fRKpUG`N*BW*)U(tENOGD8OZ#A#J}H7$#1x@22F-UGQV0gkciu|C|vNJV$K z3Zt{*)rzFY`VU`@#OuYhe6YC1Y3x=BMFdw_7f4Q+iC3I+S&oNUi@qCI8Rr`fVik)U zZ!HTxW%Zj^b=p_C77GHF_A14Nu=8^eo|lJg$W@ZlK9Yu}t2q7?DYzUa)TTlIO@H+qXT?^8GM<;~s&P#g zHfiVt5~6+QUdHEJT_!|tuoA9;f1#%P7*S7jD>RT_uj(pG#}-g6n8?v4>h3Z=Jn=Gn-~ARo&@HmLZo&Ch6NY zZg|_5@Y`%k87i~~7JNH^HK;G=*6cHVJ;CMUv{>6KGn2z#zedaT|Hv@UFD>){wWbK* zp{Pg&5pgzFFcEG1NWr8fz@dv+mAb={Q>Xibpc(mgA#Kq2X1D&t-J)k~Hf045;);{g zoqAQ3<*BcRY2iZ^L=Pu9qpEKL&5cs>)R`zzX~kYmCaG}(6iMBO5(R?yc#QC0h@0zx zuPJWQ4nW#~3f1vAPhxc+ROzh)HYKNXuL&hKr9Le-DpZpU zvV9Ht4`>&v&KRhm9~~YmmO<|cehqB=t|+5%_+T(wiTaAH?blIdxtq8kRvAC+>h<_2 z+pIR!jtCLK{WL=768uv%)SP(i4$h9>kCPqH9TR-M<{i@V%gg6@^s7kSwTu?jZgp=+ zzKfJh`%AA7f}Aj)#MR6!l?c|`em$#}*(#BLr|q}N0#h7p^0^oCM(kfqV8K`kOK{@f zob4qj{T5-JF~wsXF0;&=)udu!ppqrznE}Tt^)kU}s88vC#k(^QZ{-3hw;SPa1g%9! zWqAcvlQ>UX;T79Drk1@G?G2vB6V8$!vtK@ZF=(Ys%`EFCA9%P@el{xE+9c>KVr)TB zUIWd`I*ONOw#1N3N<}nQ8>|FPpINzHo{8Rep!}ae{y0|h3>B>_z+y8NtVmCD5xrPk zrLfk@xDMus%zq-l-{N#MUjrem0)*v%7)R4wHX-QBUGOTS3uaZhOcj3a5eBJj7R~kb zchl>g=r+VNgm|!`9}Jf3KhqGs@OVODU7`(! zxs2bNoPV-D?>aAyD5?|HE8ztx92rRe2ZCA7KQfYO0Hq|^i3gpg^f`;)a8uja46 z5@3hJ!e=EvP@oq&7YPDXjMyD%qJa+ZT?(_nkib*sZ#ydpcrO~eF$S+vcl(ON@Jrp4#x zvaw`;hChg0r3gMRLh+}2aMSz4XM#VPwE)0LzPOJVz=!Eo1Mcz=VsFIbO^52Aw)bf) zlD{NQ2UGs1FCJv_2Z=4wEF!7xRLF2g;S{@+NUTaX-xJrO+l^a8dx)!)VI1Z}z-v<2 zkv9=@M)OVJ@}^j!lqxOq1kPF>l0dAmIi=$^L(Y@Y|sTv zl=gzxtM=Cp7SF^7ukTz>ZBr|*D1RhsEE4*FcT`Ztu}T)_F|StqO{lf1Ucv*BKeO1^v zIQRkzTjzsi+kepw=Ac7~V4>rZfi$=)-!f0vkmMv?qE7dD9+6U)lar5LUwn^GrB{22 zA9_UlT>NL5ZY>mbs2DL11%}Xatc(cAvELLEWRCDsF)(9}#GO>Mi*U-$m$eG4j|JR*Z zg&?5vJPc*4B}da^fNg&V5TlE%wAdwsngONFQ&G;!uffu-W6#I+5Yg&S*>j&-W;T?j z39Ml(784GwxIzel*KDv6TP-GzQ~tbH{=j9l2InLG=dDn%kVAI%u;x*fpRz9F#nT2> z)o*Y@+(-p?G+15v_T_XHDYtNORtPQJn?m^Enov4u8uP|6`nqM>pH0qvZ3KoMKfT1| z9t6lon4}oXK9P50R@+^M1o?Rqj#AKp_n}_>eiZ-rk!mMA*e?itMEvJbYnVx-ar0xc zk=`-2VL0K@)$_$*IYE`GLj^cug%VgiD7syg#Cg5x#(5O9r#MuoAW5w}PX=^jx7&f} z9V?=oC=^79;3?Wfa z#_>S(lBuytlLelMBMM4nP~mKFB@KqvzE?mu6*lSiu0a;Z&&RGn||V z$h~?h6hfY=AA1RW)B|r5V3F8RIxXA$KOcUE2R~prKuGoZ&O#7>1{#s4>UMMKOI&*k zkkPQBbeu5z>Vd@A757=BKCK&Ybj6MUdNaM;?-}{X#W+B@|1I%Z*J3~T@xe^YRk62v zI#Xh9IWpd=1bEZRJ%Y@~OPu_P0gdxT52bDj0W1OQylAc+_!7NuhI#8YGU~$YyZ72o zT65rnPH3ny*Ojw!D2f1FE*@AFCv)8t0vZm5?}%Vm=uU+$SgZ2PPkM-!+t`1g@k7w)7_g=F{55P6aR8~yyqk?N<6 z1?y|Gn2Y&DDCm#3s<*-V?G2r-tAMjH(wy~%)+w&e=YTq*R`JoDJEl>$raj&>R`tS7 zjY=k(?BitAKuLJM1#85wtlmS63jvptKRH7`!_P&t;3sQ+_Jme4G+Z$F>?mB17C#ntQE) z30FiIjTZoWMnK)B_|$R#ZOSm!#v0QM&*9rOj`uL;+jS>dlliuZcZMA*@k*I7Z5Qy1^}X)AEKBK6`3%4}#!;Q+sJLUtDlL~xr#HW-dwlKJ~HXmR5H*(X#T2| z=z{O)L=h9gzl_^iZ>e*`Wo)WAS0k_quk4-y{#41&pdIE(sWAftb6-dRYKzSp!Jh^r_>8zm znC#Llr%On1iDB2(2!SQO3=A4ycK=+ zyxK%e>f+oCATVAIKaeI;3tmLF&#>wrae*ciD#cb{?CpMX4jnZV(gqJPsgrwHwY;Yx zh-%MkzLJl?e;>D#zHa*pa7(zb797ljUD@f2>KeG{PXy|C02L+lp;R((?saErQ>-|L z$F;r_Bw3=+%=$F^yP=Dn5(gJv`mz3D88cM04mR2{O7yh090M&r*K~O}tb|wVS+gy@ zMc1#3{sg}#gJQ5K?Q4x#x7rsp5CGL*eSpbSi`%j7&6=|e1oAC{*D;7m@NV?1NdLe# zb_IzyXtGYXGJ0HLvt`25=5+nUqORhP|KwAb{4AcQOm4KKjs}#q|2>=(Bru6S`nf1s zQ;v7*ldL{C-3uaZ5fU7Qa}eSWZfxW7E3JldRKLFI6six#D{`1iTebi;UJzXK+`WSE-||R}E#Y&`^`Vz;$ml02sEJg;>mI1Kk&}Nnfp1 z`F0UtA7~c=`GZoE)~)<47$I7TWbR!Hg3oX{QoM%Pu7yh+If&h$4!*$g!6@i<$18V< zYrBl6Wj$cbEo~=JrL|!+Jh=dBKbERxCPN6+zGlQfuAv3MB%H?37nx}|8qO~x#bwcu z@g)|xq~Bidm(!h8F-N3vRY%|SXvskr-0DI!_nj|eDNUAVO_#Sjbt{y4K%Vl;vrhAS z>cu=@+IhNi#8h^x=?A=gmEk?Wx-(HW&fJV$%Gcl{UO?JSuVFmuJ2La|r@}wY*){zkMARNwS1=-!APH6j=4FK(VFGMz=7+^>cKZYU&22jhX`0AmrLmo0#H60EkwZi zdoJjm3ZzkExw3+^goN)yk5@pf3fBDB{Td4(j;^;|91UQPh^gn%u~xN~%o6>M@n zRX=rOW@psP!)d;4VW!#vXVcPbq1y-c(Ay$aQa@4FU)W z&iIcUy6pQiB5SHCwPIfKGs|>qGRqCP4qQyXMoxkbs8rARJ2+xsX>mwr8@FP)9}O-< zfDKuEGK<`NX&nB9<%$colbh>NNc5SWO~6*(fU;cPZ^Eo;L^!Gv-3E91^y26n1$flE z+u%*9kyX6ERrR@-!5_a<9c3O(z*cU7A`_gY9`jg`rI)P)~}2b}g#j9$1Mp*eCv-%^*R! zP+)HtOe(;;QPUFl#(u}aD|vui1o7D|t2=Celbe;3i>3M+A1^}o#mas)6} zg9ia%!WIKEQ?NuYw95u+Ubq1;XSRP)et$!(=u{&@E@Z=dvgpB)Z8NplX3I{D_c47- zl?6SMQZtqKYD?LuX`?C9KUj?X>dD>RM>`7ZKh{isLVlF`BFzNQJAO?-=?S-zFvagM zb|xSxc&viB72~)%?+JCQ^L5Ql26T=s^%^WQlc>f<3yk))jUR!ICoJi;gXfZCJH&U~ zA3<+4PaUm$HOt>MD{{j?+pgm7C2ft&jO8V6>Sx*;w@0SRNuJ$j16ACW30fX;D~D9^ zD`x!Oc8ni%Cs!b47BT32tyj5}rqjAII8JX5|I@p0flmmkt0mSDPQ>UdWf(5j zP|$zkQFu0M#f8xz)ki&~f09{ws*<1U?2-z~K#kgPwg~@!oLM-)Vp>Y@}#JBlXi(>~dVqeuN^X19Ah)oO;OB|(RGt(px7Q)3Q zU*=uB5vmh;r1lalqflnIZ1R@9y?swk0px4Fm}_~jNT!a%@;Rt+bu&t5v!M%w{KH%5 zUIxsT8r4bo*&(H1pEg)s@8OH=CxOBHeF|ksZMFS>UJcFFx`q_~Mc~_>p57yY)Q8zf zZymF4`92s)J(BXkd^c^^yRXsYqZ-fUcEzumtG0QM_vX!L6_ZbZ+`B(2X&d5>9N8x& zxc+BTSzIw+2R3e0Y>mqA)5fd}p0((YE^DOT-4^{taPRL+4+p$V!|n>ZSDT)UoS*Ve zTF-yXQwU^o7+mvIjaRpGdb^|GnxeOHa3n4nRRBje#@?-sa%-u}D!cgg>LdFO-EpPG z4d+8YHK)d`nDq!{!;;&;MXcx_jbFAnoqsO?XYaqPb6#L?{(6N>PIB>9hs%u0h`ga5 zUwF;c8`<-wTBtRVOM=VbdVSeo3I1Eego@-e^S83Y4TW5j8-|FA7;~YpEosKHTap`A zB~H6+sxN%_o`o?|s|gzo+D0%d?c9orXo)7Orco#XtFhQ{hb~I%e%Km$k=zY9bGmByP~=!2Z8F zt~?&f?Tx=^A|;H`q_U2sj3rA-l1$d=6S7Cb$X3cFsiekU_O-HPiTg8^sZ8AJB3wqu zl08bPNy^UP5@X(b-a)_5tW!&ea>^vdCvDdn8zmHr$gmQGse7#bSk)m z+C2)x)gk6MX(a9=K_lF7d&&wuV0=KdlpcHC;9gBw7l{~I>gwH`V%0y;d^|l%QPdI} zAH%OyHqfzHo?-QOG9~lnSb>kItA#Q#^leMqs3-}q(M)Fg^3@_1ZBMt9O6X(qGIvZ{ zx1m)f&{m>o|Hk%nxLKz(o9MG|7|Hn^tlN22McM_nB^K;XgKwvEp0kJUv_GWG=CXvV zt}gwqou6!jZzhjfVL1Z|!P>)l_YeI?zYsJ$m)_ucYn$159hjgD{WEE>-eWc8+`Qnw# zW99fy1bh%#tX{id#^O}&f~3>#*yu^w%KSX};i~j36r#l|jKj=SV~2FOm!cAZ#GG)p ze15DB3mzzP1<~jfgU!g80Bx&!KZkvCUlbz|x;n>(X@6;Nfoo`4dLL{#0``g8tSyck|(WT}bz1f@hqG$JlPUWkO(Y&zHz{e_iQv zC}C#DPup3T61GLK&R1-Q~Xp2F&Ia6$HS4i2ta^V9h`JMRFkLSb0>UlEWFF4`MpY zUI{d~SZ!#=9t8<)Mf3#{{?KFMX`iRh$Inyh&A1?aL}GIgu~Sn1!V86f#fwmcLMwS$ zU_cCxR11ot1?xWMfx7mCUU?KDPE zj-GLLuEp~AU@>W!Vi_oJ2dB$cY)2FzuF4=arr(oMwHpCT4!`q~ApbfkC8Q+~q`hAm zr3wgM&ebtci2uJp1*pxb1?z+5H!qZiMHB`2n1ehk7PjOmS&NN;?S&zW1msVE%bCQ9 z?t)mV@{)I7mtoY$*qbj|HWlU>)P67OyKOq+I!*f)!qtL|sNB$Rf{1iwFuVQT6pA_U z@o;$ea9B63FwLH(7RZ{$zHIQOSwV-09Cd(8>&5dZzXPYs)I2;+oFTTUSnOy%E{x}f zECI`0C}$J6>QcY)4SmmL7q;T(QQynLP!gI0Y!?}?H6<_T^WHspUo4gj;)LPB>aFL6 zfU^*L$w&I}6&EJK%_W-ld)k}T&%nTg#44p&d$0OYpImYp>(yP>QyVy_m{8S+DdJge zGH&7V$F_P&$xi%{lto_yeXb(DTMrX;oQn5PV8FQqf_m`0WaH#tym~o$aCv({qPT>v z!*65nd>`t%s@r1HVc&|(uS?5AuVDejWJ-zM)+>Tyu|2QWWG+~GY@>?1+CWC3oRl`J z)oRmPS(E-7iTwQQF__{$2&&|)LnqldC6olIPPT$~oGZz7)e_8kprq7)fdYQ#{_EQx zn2CI?l0f`G^mkuyl?G&P5N(o4iEg9VUCVFURTC(~xJ92%)kR!E%{;kuQ#4NmirwTz znEM#*h^`;oxE@No>VOmg3GJTBeOFBuJLY{doBB666HurQ^akS8=(qy_67e|j)P`XVqEg9YP9(|_w_p@G~TW(yl zMYpB`dI~_6wa8Y$T87CdTjK-9YRI!^Txm&p2jYl{=s)rfTF_4-jda=t`#r!$D%s0D z>fZ0C9dR)>DkexIGJAxrk+>_f=7bqOVUFK$($^@fNBi!abL<4iEWR(RdhohR9M@d( zQp~59HT3dtknd7tl#R%Y+$+u>BV5PKBqfkKEeggx7=_BX&;|2S8mU8iF|;3T6dOb) z)^tA4_t3YYgv)lm#bW|TR5<;$oRO@vHq-2o3o;!xxL^0-fen2VDSV5@u^MTb$BXa| zu@>QRVI7P}Rx(OUBTLJ>gPHrkEz(+w>Bd3NzR`SA{PxId8J*d4CV)Cmp=TQ@VCy<2 z3~6_570&ZxJ72kVJIV%rodClK;+A)Z|BN3h=r;*si~KG0>14D-?D%byQbC z1{A)uQ&d!UoMWf;`lNYs~pJGIYHDb_@ukb81^U6eHA y7C0A^(%v?qN8dH&Y$T!u3xGU<&ooXoz`PUR;+!cX%t4Um5HdA1Gk8RB3Ht{I<~1n* literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Length.png b/src/SketchPlugin/doc/images/Length.png new file mode 100644 index 0000000000000000000000000000000000000000..cd4f8618e7b30e9a12066a4af384134a4fb4cfdb GIT binary patch literal 18859 zcmafb2RN1g`|wE$am?%;k%Y1%#IZ-pc5K42vpH6gV`Z-pI`$q}A(WYnbL>q>HW|kr z??d(d{(kTO|6cEvuEXFJ66$S!balpj_ zt`t{MC;%T!~>JZfe%m zOsq~#)$iAr)Nf3Mkr<484rK~8rNAbCN^%XGmC%Y<+Blwo6#JS+)H`Q7D*r5I%aE%O zwf-msGZDdkGZt=`j{_!O-1SH!Xl3K#-}(9eNpivdI}y#Pclnl22Ka;#1k_H~B#E&l ziBqm2WG_BNuLGZAH~g7`?J{WK5B*sk`5)G2_~`j0XtBZ*k#)!GY@RrJ`BQE!2z zF(UW*{h6x41mUc%Csc6DYAjG0yCsjS`b`|>Au<9g8ike5XizKus(XngZAWj;=}DJa zH+pef^cP_W4ncUUE*$~}W^Ri_y3=WaKzpwUxHL)AmT2JSBkbvGb!romQBO0hbc2VD zgUg3>ULmgpQyRliI=3~%2?@eq(`UL=aXC0r>#j1{?~JyhQ?;dUEK7r0IkGGf8mhL& zFjo8Ko16%8-NMqcw0ls6U>^c8^Lor@G?U3oB>2IN_`Bl`y+hwu&{YXq1p-T;h~7s<(&1mJp&}$8#~VVG0BnEW-BIXdK~d*zqhjfB zYs(|ZQvp%^$*5zN;4%3G^XY8Zv3Q27lME-`mgN#eaWMEj61<4T#Lx$}gDD#OKd9^~ zm9h>e7cH|hYgSP5P4sL6|K;&uiMOO0N-jD)iPv%5OAg5Nxpe!f2X6B$!xz=z-Czg--R`lI|nAnLT3j=tO6k^6sY*~ zKhJr^EOX*?jmHiKk$N;3!bReZQhlj*&g2IyO?Uy7TR}U z(3m6!Ka12zgeNa{@ib|~sgh^MXK1L$<&rOaaa#D+aCWq+RuhHHc23fsuG{H77k5W) ziS3^>S*%|#tAm%i-{wzOh-zpLryVJux0blyQnkkW=1eWCLxo&L-kx2wkxJ4`K zz!yw!A>YNoX^&lQa6*0bo|HIdD~Pe`mPNf(eSUtvm6a8@%4&23uW36POvC@RyL+XesjZ5k}rD6Q>EA(uV4Kqb9rc2_A<*c}(OTs}^R9rwyy) z?@2bA&d-`o*Bxk6gcy&z`NYB~E$8VCOK*8NQ~oXzDc`%F!$7O%kOlU7OErF zf(o9R??6uw-o4UCu(ro8XXn7glXv6Zk7^d9OwJuwi&>wQ1|0-tac(qj8JbDV zE2Tm{pJS9#dn!Cez`P3qMB;hM>5Zl4UBxH~RreYqQNlBL|Mdd(!oeBV9|qNuEXsyy z5HkkYgqv*$DQ2@Sta`>_vV0L8sFABR&3A{v_h6Qcoz7-h7(wVd>DWQ4%_v)u+;N1+YLy3A=C@#AEoE;JmFu;4SA7wJ5m^>MuCmWW zWm)Mxx_{@bc#`B8f+R<%??pe8FL6g=QRi$Z*v?x#f(Ogck97o%YkK_JVQKp6^_sIv zF}nkWO`gUqIjE|9kTVuda-4F_AiZdg!#F>DYmP^uUk#`9-~qoRiF-YveCe;BdZJI# z*e2nkwOg>>BNq0%+I~%TD93gP)-A=6^Mmm7AqizjO6?h12k=p?*&S!Uf+Xlpf2Je} zSa;vi4Bg1BN_N{2hlvm6YpytuZWsuPhs(CO`5lcQ|yTSJueX+nee>KTDEpPsC?=i=t3 zH`=;Axnl6!Fgko7W-_x2Q|txSM>s8Fj|(P!yf zeiOgH-`9GVuYmT-RhX`Pn=EB3%5;4gu3xRTUSsVmKcQhYTE<-EwmQr;`sHEGjPG&! z*>3LHspaN)c2ru8gSMc(1_OMFS;i4vKSt#_o)dFXvh8&(x; zck^W+CFIv-V-jSI-|9kUeDY{C3_c2ab)rhLB zEfB|mABE4V5~sVHzWbuvjsaQ?oSHU@GuG&NGSx?0XUCf(#d6OI(y8ztD!tpEdqQ=Z-wgShcE zw}HK%Cb{)pQoij}!HVaCqWP>8M(o!>E`7FA;#rqbX!o>-g2*?CT{Tpyb`pV42mLu_ z)Xe?lY`-R_xQWT_K27pn?+JnodLNVa7mZN_cf-4hORpegqekWUcZaVkKGrxUq*9Jl zN#+bY&1=IVky~h@YND^Z9YEu%E@I84#%2)1I z{K30c#1NWnz0?v!4`c}58@0)H@HYt&+p0Zmsf}yLkEoLkhB8u{7a~}%#Nm>6L|ele1_tI^C^&WF5!Jao64gsQE3gnry_Q=V1w->r(Kyl;h*oD zEhQTHdd>quRFEM5%w*HIVqdA)5bqn>Ss^5p_3Q8sEJ~R(=eU9;l3W{NaF#A-IN~Ki`(w&cOK~~I4 zkusq-skMr9P&kZhPm8FIcLk1JYWU-{E$lvebaB-Xz<2u?N?K^^h}d^j43b1G2h8DF z-#Q8Dm#`A}VfQy0_F+O*r=J~i?VmwbUEAJC;F@QJG+~DIy!DztAt&}3l;B>a-iIAwlstznWAz&io8@Y% z)2=f4%|;RQ@u|n?3?EMI=VFS`rn(Z^5pIKcc&kgOPZ`>2I|U2 z%GwI-UJu&z#cH9}d@SID1sie28Yv>^XLG`hznq0rleI>DxgP^orz&<1t+A&q(8%ZY zKFGdu^~vr=LyC7)vdBU^7^iriQ`>(3^Ho*1BkLR&jmJxxK0C$7lw7{`-JA|vx9Xqt zJEuSES}F%zh2QZ!<8pK>guDoOyIa302syTnCrK(E%cj!L)?lf_IWg_@c#Ft0kwC@1 zSKQbCJHoy{3s3Bbw~`;X#?p_6u62aS^Gy9`fkmI^cr zKM3&K?cm?hDx$(Xw}DyZy)09;+28d0s9l=+JTOvRvDC=#pnh}jqetJ?0pJ>Tl*1*? zwwfr{Ph>>C5o`|I?X15~R7^aZh^nM7;x*|cfeH;cB`GVbHw?EBZ5A6G@7GK#`qfsJ zSup0z2OY}+&MuFrGcwTdj)kxl9iV&|8qqgAw9pBusMir3kWK9q$n*ljun`Ux;t%vw zdsCg1f(M*)tcZb>L*np9EIuXo$y^u@kR(JU?2UCNP5ta%PKxexUmt%3I6~bf->(Il zGv50K4tGQ4@)3gXlrmZ<=aZ%lxz!OG>{SRn1#P;f<`jXMg0Z>NcKS{`jKtA9Ep3e6 zp7oF&_L$4J(Aa`rFbg*8cZ`ubo!V_CR?--iO%m!KU!f|Z1J{ILL-qQ#PTz`jA2Ryz zuf}UH`F;}@mUXqx79Wf~8h8f83#2Bm&;HX)+3@!B6%h9 z)K|lJ8s){tnjlJ$!&kLQf(1hk$18>Tb`#vz<1VJfP@|?p3!Edt?G8qI*0ZLQZ21(1 z%(l#K$jtRA_$n7lO#3xM;##8uxy2G&y0?s9MSLg)m$Hhc_z}!1{_gos{Y;-xxrgoJ zuZA&^;V=a2 z7aWy_7sJg6aCLKl^OvM`%|^gaqV$F^3d73&jE)d$IjfL$)=TK|@eXyreNj3dUDt}ucUoE9jn_Y|yXLVS` zYd(Brv!3UULTn`~BdrO-3~naz4YrM8A1(LYRTwq#2Fg9{I;JpxI%Tb&@`^vv+Dx4x z36zbEIQ!-oLHRIRj-m6L;<5KPLMV6ao0+^J=pbJrzg0@IeE;06FM-N*aszYZuGW0j z$T#uhd&Sb(gtGnyZ$jr2ON&;L610A#SQS3u`gXJrBnkJvo+L30xmWM6p7$Vx{r#{E zB@D`f2Ui%`mZ@z}D*Es@ANQxd!kdIrekhWf(;uK9O7k9pb-{Hf~R4!Zy8PpdKWrGUt|kEeT0rOC|b_P!h2rt z-V6Vpo)&;*BoSyJ7zVI#`%dwiLgY4Oc{3!F1XH*aQMwbD{H zNb=gBa_1b#-Lx8Wns-L^9Wd5OjH?u{xrZtQ1+~YKvj_E#>(;qg?DXH& z{IEK7yb?(HwWC-uPQFxQ*`g~UYf+tpqvrf<-&ru_$ePq-?*`Q~hko3%z6heYw~>sa zXq*T-pL~T?CoWO9^lFcTZMzxn=}}+(qaBrT4cL~|-WFXkng8q5QVMsQrhJ8(B8nb1 z%@M^{AK>dpPdM`FlWW91e!SHpjj7t~sCK2@_h_1S-=Va=?Ow#ouTTTz)4S$u97)4r zo5MC;?+LFoHTzH}uPM1N9_~mmKFH`X(^UBS?p4Zn_s=3}fN}#Wj#Un> z_YUKbKg9WkCV9An(Vbo`+hPDng@jH%s-lo#@cT>};(( zVt4f2Lqm4&oKD;3Hz|BY-vjDkc2ji%;QA(q8IGZX@E65>M)2kAWC0ts)Kkj7{_^UK zj;L#@O*;7}4f`b?i}cm@7IcM1P0G=?cC~phLRWpvFRDa-B!|u2l!@AN<|iOT4yJ>% zXS|YHoNNBOr_&Bjy5I4crO|#$4SegYg6q1fcY}HTr!dN@5?oSQ!bQ3wp{lvBYvR(W zA*EKDO|>4&YKtP_aUBfxTAvP@Rs<7R8=O&%5dtgI9!0{gOPYnRDq{rAml)$;q7x~6 zUiG+o$OD2-nB?@Fyb7tQ zDxiT{5`YbR{(7^nB5|72$!_E$X4O&z_AE#PPh~uQnrQbrp_cEps{77NiVFEfu|z@# z_PVp=d_s{xFH>FYWGl19a;Dd7<#5ERO<5}^epktT3UjRIklh5&Pf=J>LNvZOpp53} z_IC8VZP-p^yu^W@*f?Cb+7F?uQ#sq{6zOS9dt~Ov|MC&oik#;MBjoyd6Hm2p@(AYk& zqO+F0Ob$~_A*vDp`Nbg;=^p}ERp2E9ZZ887Y^@hmXR%2{L34`5PkVlZU(K~otD4Oi zq>+36Q9`&~e98cY@v0bOugNcIJhv5Qy#vGM^*P_Np*=73TcF7=)hJSktOOVlB;m`S zRB`z2J@o|Col(VaGtBMmRuv+I1??UiA_sy9t)60(^3$uSADnKDs|?#udEXKE^~aIW@A zc!Ei6KoQ=t(Ew#fU34bs@4J8?euAba&f$8fF;~+c-@4@r1a!(Q0)}5Q`Kmj6U#nJp zqTuDVdc|k_-A*UsX3n~ZnPGjL zpTa8rbGMDLkU#uViI&z8t48p}FSVy7FX)3AeY;8)DsD~!pM-*{QMN)kA zC!V`$vMuuvdXL+hRvnRXmQM{{8yem)$id+AuHh6O$hFjIltRi3Bw`Rir$*Em=7;b_ zJ}Yc$+PTu_i!bF1PnU@g)7bYm_85Kju@%@@Mw83OS)t2a^Lj z+msT=ho406b|{%|! z6$;6BJ>qrkdKFWZ47-huCk_Pg6lL$yk;ZBxhK*6H9cGEa#d=1$oSnh zC2Z_2e#O|#1$mQxlCIwQvelJ=6-J^zo*g9_l!&cY^>|m4vC<<762n`E>XrHB7*JJ^yyJvr#54--Tw{x!};3-Uivalat>FHzWi z|FvC%(tmCD@4f$f8$u3UpRTy&lFziilybeY>#{rDdn~*^9knzKB>2f--w8u-|65Wu79rnjBbxY zf}uI}Wgqh>HhWDC!1N`zZ%--l$&@N8xd;~h8XXZ!aFd+waF%g!!eHRS__XPEFs{ml zI-OIlbM~hY+3L4*AZ);hvKDsS-LpxLWeJq?>D?QmK_l=Xlv8 zPr*h#GLwrZXa_d`H1THWIJ;QeDs@!_3}U?{^ufafq1117=U181!1b-QoXE9l3eJ1u ze6iduVu(Sb2?3Y)a))^Rj2kx?r6+&w_>YPMT(WG+Fx`Nq#I(UP{~V_O7)BEqbA5Pr-I~ z*aUOH#qCv}d8gfU+q4ZP9NTOHZFH^8>*q4dd+(}c^#Z-cz&Mv2D?4@a#4X~j=pl}F zd)t&i?JRp=g5v7BecjlDrMrbB?%DS3BLg2z2qg4Zn6yT#Kl1)8ZUv!ugFtBx{xXv`x$<7YN{Eh*{-Z%igZ=uI4Dp-)VPD9#g`fWe;C)#Y{G(MHkf-VC?zuH{4$ zIBin}sm&OL2ep1D()_!jssA_?vcdXE+&Sw053|SN9|`|Di5IVLyOUY8Gait0Q`?p;>HY;fK$saS6%wCTQ*DF zxTd+VoqqS5NcDu? z9A;WCJk?R_$nPL@+AN$`L|D|9$VJ*0DR2hkc!cq@k)FRoO6tF#${>DC`VLw9c0gTU zHbgL6(Lr zHK=}<5_BaKUmxbmSm+Q&9$KDf=Or3eDsOgci;;?^_1gVP9%GnT^TY_pvN(8s99`#m zSoGEltWq~nx0H@QaFPpEpW=7v8z(4Ui#26fA&6=`pMmmuM+MYOJ9F($8#of8$C?- zThKonsyNg}>8m{iKW;U4e#1tq@-W$h{}e`g6ariFyadX-n>_Wz`1S7zBI@MjL#Z?J zI)}7R9vJEAAv5j>LlBc%*+aKSo7TNDnxmJ`0`~WNV-YnHjPia@z#_h{uy)zL?U#*; zYxTV#Vh)&pAAe@2ta=0+Lu_Tb2NgUM;KMU;Ll@qg%Y-HcMwPsJ_(lokGB63RdjYYZ z9ghtxbiScotK%tUehow+IS}%bT;+bU1^*cATVHGDvRv%bl*DN)NWj~xkYg-FwRk^J z+7fWtSC-fw7;Nd?!bvqF6qZ|ecljq`BNgFe`LaU@S;%{8nVnEJDiywDTmFTF z^gG=mnTpVq9@D15(FP9()!wa;2r^}9zMX!=H1=P47b}-X3B86l&q@X|p;2VAm z+0IcOMag6I(0y5PLk2UGR+D!3t@97|x@RAbnfzaq*~KIgsyu=!46qLFHOSEE);VAi z)BjeeAcE9HzXjXIBZYBB6uEc0p744Z8AA3Ro=Vw6=bvRsKP8H2RURe#^5g0D*&KY0 ztEIgO%80aHy7yN>Z`s54DE7BL6(0AJ7m4Z$Z!r;s>yRZIXH9ppHG{=w-^a2cZS3Jh zj7hwf0sks(AKD8=Q6#1-yaD>VUMbB{m~K3Q*nX)T=N&(uf%AaZ!PlG1jd+h;US4QA zPHa)5`M2Gkez#9Sv`0GALt>WrT8lR$rimad!>`$>^7k`{`}xAEHwlGy^G=^6zb>g= z7V~}{>N11PB=XxK;O=3NruYP@(FIe_ICu!YQE=u{7lqa2rRumlV!KK2y{D}7DGo9; zepXN2YbqQnCy`hlnV6|;AYrNi^N)t}e9Ub4wE@%A23(BXZ-`4r81p$MaKo|fmx6cA z&u~gSX`uW`){pGcq0hCgpXsTo8yOc<=lh%Gw=x)9b6_c>ktjU&7LO$DHD8;rB0V@F zDU>36Gw9VW?E+!^C>x6w5NZgDR8}x51rhsvMHjk>ydt@bS>80zeFylBiT9z^j~qYV zC<-que~{R!sUP*j2PbKY=r3Bpj)yz$|Gb%TXAh|m6L&8!H!(YLi3EzN>S z6C?q=8_A_nGcR*Q#JS9>G8g?AKp&ADiXMyYoM@*^A`Cs7VK6T4k-m}%&y4fQJ|%|m zO32k<>*vu#?vdPeu?}5wo}!74&-10~KXuNNM$2C?e&l%`pUS7`mmZbPSr+xI zV>VFVl?(sFh432Xf;IByybz)VbGg+oNYI^+xg@JXc0NwE+ZM_|1K^eUTle1!Vx7*4 zbPws$J?45ry>s1e;zeAdb2#6dwFP~Kj&f4ceDoU@jJ3qm1)=-=xCu^T&Qxk*H!fu$ zmeJUdx?UWV4E-XmCNM_in@=iB@FF~F~|j!(+^gz3H-0x8g}4eU%$g8h4q z?=6ZzKj@5?mF8JS40e$IbuK+;i*Lw0wfz7u{GjH=-Lpl4 z)(kW@3nrPsH77)FAo3sIV3Ud!bAz`;Bbs=MuFUys6jFQ5ve9j_K$lGEsJG9@wxp6@kFV`g5J5^cA8)^>8F{)Jnqz6w0@Z+QzQAHB zK!V#^**+k0%FOv=cl+?QD|&CD4Pp{_)rmwq7+oSBey6LNdFXUrMZ%2;YAs`wyv1_r ziu1bXcCrS4)kTm=ar*j@^O*g2P_tGa(36Jb7Hd9^d7Ke{_b1+>PH7Y}@nWOPSPD>h z6R41_Dt}2(52SU%A4$8XpQ`@xZtjbPkY;jjSRnZE+p=2e*w7q`|1I>evztH@xN@>h zzh2q{3wdLV_(II?tDS2S?>I-js*U%@u<9I0D?eWOEH(Nm15b9~KLaivLF#Lr+uDWg zm#Kr?eec^U?w#+#R!~OtUFtLYImbEDf*@vQ6;}Vh!Rl?=vZ##2D`YGff28sC?J%ng z?Qp?{2+6KzP9+YeH{I&hSDSIl0-oHE0ZB3f-_>kp z?|z^Ou>`!_W&Tbk1|;yOS0U`=(P+K^fTj$EOH~3=cwoQKuhhvT$+>{x0@=sql_2@m zB(k-TziUXqVrJo3q~srRG(zs!KHwtvkwh3KEdNK26B&fm=45*I%*X1EEKMxCCb(hh zPw4+s%jkTpyxWbY7(mnkg|k1YkV`WeM9lfb=<44q8OYpHt;chcZgF=XyaKSi6+qD7 zQcp(3{BOC##ng;U%=wcc(cfnn5Qf<=rKN_DNEk!|;^6#8$u8%!@4H}Kg>;Bs9+IRX zs(RTkU%%SDr)i5%En=4P-(aOk<=||8>3xMHi=hh&hzjjPPEqYdc zQYY`X71?}Zm*aJ*h_=Mx`PA*+l$MyzyQbWNv}{aDM}@U6R&e`@EK41CQi?pO{T$ZN z&s|OLXDCf~cjM(n6$q#htteh&z21#}tr3Yue*B~VM%tEax_&{_TTVa6P(cwD?)RpN z9D$$s0P3Ho#7fp>i~B60w)S z{#Uq@j@Xm`fns~7Vj%m_mF@M8WRgN z?U$It_-~I;y$D_T;ZUtK5#Q8pK4I6Mo@-Ej`s934mCf+LR@QJoi83Wpq~o}i;#5zG_H?>YKVL#wEUen=1J_0hR`s$g%nnDzEXuq&V1aAsm zV&Jdxq*eJKjG}3@8~wMA#w1^PVR?OV{Ku3_41B(G@f!nv8Hx>GC_MtVOf#c35)a^p z;`Qbgoy$uupo3Qpij&S;N(TtGe37iT@kMxd_U(h73p1FTTT{nIO! zMw_XeT2!cpiMpXtXM()|O;?=M*&18HhYC`*C2WvUbwNg|aXqPDrmunH3R3nHFhPra z$tt;BIY`61xhpYcF=^g7@%%uhamvZXcY){0mVtk9yj7;lvLE;K%JX0DwN~{LyPx0z zsItx^TSS_f$Xc6YgS_@Z7gdvVHySt zycydXmpoB5JIFtPHC}&q{(?v`F7IXoDDV!L!01K&}R7Ob2d3KP9$21~>bgh8L1PraFAzE^aMC_ZMRik&v4IoX4; znwcTPZI4cRQkL`%kSL{(D$*bl-Y+VxH2k2h+p^J|EN9`)?^GM?qO`P{aEua(PC7u;P{1CO<;-lFdPr^85Ctq6xSfT(;zY zOfR_cyu+03X9n&kCJ7s)Kr1D8Tc!*i)!$fDqa798%&4NdP7<*_dWlC_)LVHGdB{vG z((YM_pJ;HL+cyIad4bW0*rR}qcPKB(sh_9h3qk7EtM5PA+NFa%z6T17RAGgU_q?EJ zc}91t-!5(#5hIs#LC5z9HcR))0?zxDoASNt#Y+*nJPRtNh1RDpigSgT;zwV_@p5pS zd>V)ON^s<)D*R?d8O5{yzAcwu2nrSNwTT_YcIA=1ZmgOBbNQq(iJQ+WhLf&A{V+QH ztX?jfpTgfW)c>Rs`;e*OS=hYi8TW$QjIosb@Msy30_rU;prh3h@d(2l(C1%KmB=9= zWpHpi0t_mKIHmA9WB@B}Gju}ahlW~Fod@}&6@Lt6k=0rzw`YFj{SU(($IsFhdG{V@ zebSc@Gg8~MB8vvwd0^%Ufmw?@9oSMx*G-UcMiyTFkknT+zL2QT7dCc<;2zB_1N))C zf}zP?veQLbbv8SE26Ik_Sp!F!*D~VoxZaCRp=uT~Kc#jFf6zc0OlKKf059zWnv&a=pSfp5dj#RrR-} zyR*b5_M&`yiz^eZrS1(JvE*Y%LsRLuzQzRdSYMm5$#ZgT6Z?>uIr+<(`k0Cnv6_3K zbW7Cz71g(;c`JAO+gR@N$2V;t zMurpk#0Sb6N(TVI?6O>2po)V*^oD5NGPy?a;b(-pgj`TC4b&_97GpiF2;>SAwzNWF znxMA1Yl=!9zsLc#m|@(kTdBGm{Bc?4S)VV~t`FXoL;hd8qsnT@+@>=7%&{Y#MH zQ{V<^ARqmWt#KaWY}yLvxdN?-G`Pfau4cymwpjW%FN3u*s~KEJA>jS4zqpnw-2~l} zi>n-LWrCp2r>!_YW@W=&h%x%V1|$?vO=m}5`KTs`6NLiS>mg=KHbVs*GNJl!#SJF* zqwi3Otd5fVPYg=!S0%nKt~#l2C9{1_HGWYW z;5pc?Oa;@E9biGFIZjc}L5S*m_g%^Wor#&(?B5e|iPP?nNugFtm%jGAb&*dgv%Mu?4jJKoNJWmIdt?O*1TINmr7}>XEAJlZO*miK2W^sFXzKlaE>$3Q=14 z`L}h?9z7$k^0g9(r|A@>uH1HM!>?~`Y+OWK`s~B=Eoiw&!?%L#@NC7VDZlf4deO>^ z8lVs!92`@fn`;JJ`oT&#jFu3vE12|LEG3fgu6W+*uT|V@JDDfo(T+e&SBNg!<{Ab% zd^%k9k;&g4SdCXDq_QFv2_-zNS~```%=6e7DDmQp9GyX1Y8M^kJI<%vRy;ZS9`Mb; zoxIvMU*G^2Xf%*aDAK?q#2t;72J~vCPZlK<2=2O1dsgU_`z3P3&eS+;H2Oe^wAU

Mx#CJ)R^8_FbA7qA-WdJr>0sDtdYLmiiO!@1ik24z zY7WKZxAlG0&hjX=YtpX{4zfnW+0%d2__jL$vt|_@TdU-pKULDax0jx+nAHooY*euf zQ0SLTeLqrH9T1|>I6SPS+)1tx>_nlOvLc)pQ%95%J4<6@#LP&*FiuGNBbTMQV3MXd zE+t;AjYho&=#?W*v!H_zK=tLXR7=Gr493YvAs6jmkk0Lx$5UMtxv`_$Wf6^Atz?Y{ z>uFxBS6<|WXsj0*ox>NtRob-p1@(%+A6otrRuiCxFK!in+6YQzzmc~SPvh!zJ)GR{ zFhqsG0A0OaMI&G@esxhF)*pYwub-*12`|<|3c$_qYRpe38Bbfxdbey)& zVTTkB$ti82QywcE(C)r!F$s?L(F*pFPe}JoQ7&@46so%W0+<<|hAi$>gH7;j5N*#- z1Vfell|DHqHC*|t?erN)>VC2~C<}O(4r2TKLRLp}viWNLTxkcGO~2fs9aWX&ce+h! zgf%;swZ+X^n@;KDji=T@ghFW^b0cz$=Bm0s+GADI9=Uv_wB@Iw36^KzC3RXn01{m( zxSN`d^ed#9Jl%D?7 zsPWjoZuxw>UnvnmDCYU={V*F)L||p6N?!QUm+2YHZd9rh-I#i`KJB%<8KyM~O^Fs|689U1!ZA6a?B8@La~$Ts8sSumVd6i8NU~Z88PAN$l6>@R-}BZX4cr z`P2*H_yl$eQiA-dfc_r(9G@L0S^Cy*il2AEQfT2nq^?Qd?BjnuNW(@#|0n}ckRtaZ zIe-s^nm{f1Mli3N&~E42T1BCjm8dV!Y>N2>?s10iSnLJ7XvBiB^JN%kvEhgG>K3)V zK~m3E#3nc6z^ku1{^G9b1blR>6a>0J~R;ZLwiuxfY|wB-t-`s#1z z3=Vt>>wULMOXx*o><=iFO9MN@$-U0yTR9P0BIGgn)=P)+57;1g4D)o z>U$?+53+{eGl?mY6LT8Fx^7X!2g(amA`HtZs6>p7VH3>@%tM)PRJdD+fY-jhq|-yJ z1@H*$7)g83mO07~op$rIb!zgc>rSKk82toBp(T_&B=nGTk6-9W93q<9!D=o7uvCBz zq(F{3MIXV~{DA*UIupbijJs>g3BWLeR@(3MJY{K+Ree5{VX+i>{f+gs-`lE_ZI8|+ zs=Ai%(d&)$CePPJH@~2nus`0>pb5_CsMQL2-dom3!w@CMwqxgB>jo^h zZ3Ij&;P`#gQ>{b%s%7AU%$#d+Ef2miX&X9!eouiw%=;DAy;ojR1s%k+hNM9RVqOgc zqz416S!_Us2ap!mKjH2)6{|Uj7Fb9(Vffa1$@NL$chy+ts?a>R;7v(e1%8O%f%Ssv z5Dk@Qc4tQsEy23P+Gd7+g&-=olAm{77vooqK)&+)NSg)kE?*DSwSist`|x_O^<~<+ zLI%L)k*kYE&_(iLE^7qC1BXL&ZCBB4>vO`>sqt{bPWyfW#Py%ET2U*4U(ymO@gQU; zoxE9ERO4x^5l9ub8;G;@>Eqx^avMu-BRj4@^1EkhEBQdU6afNLkWcQ*`?KP|AC*I- zRrOLcAE>i=08=?NuZqjUkeSr$MOr~c)GCz~Q2KR&P%Yq-l4@N11)037o=HpCn>-}m zSsXRGBDFjaNzz)NW3_a60~collyA>z>k(am91&pw`^^C*x8l!$ddW+*NkNEGOJ7FK zVgZ}B+9%S`L&(;2m1K+ojbcS&K_;;4tx!a6^@DlTG^0SrP60?h1i#pi?*vS7lOwLv z{l4P%*}fM)xR{#?as3@J7|7Yf1yvxSuqEala$*{N54EZ4(G~wrw3SUNh!_d9yhsHY z5NWwD(da-+*a!CNI^!X<%{vhB?+!K>b4GJmYZ*zycVobt{2pkjye+Na5ltnSK}mP- zKL7WNh8WPz{_c4L7tH-A-yZMJt>;Tg|H5fu@2?I+e~oUm-T91udD*Qdf}k`X<1Q8O z@dpwx4g?SKJ-^3z|G5UF)D7$L1xy?SVY+-?M5LAU3N>#65f$&hd%dr{fqSJyB;TH# zgyvy?q8$V8?wg?}Al%`)YSJ`nTh}jtki$V#-_U!Al5nfn__GaAuQ1 z{0k50>Q!SkOKZPJb`p;6Yy+Hh`OC>_IxlEc*?QoYKfLcl&va`&K6pW*h&`x;=dP&UC@0*O3? z;q33HBVkLWmK>j@KGI~v{aLl3wqY13jiowPK;E zn^C@OW2RM3{#6{BRsgTRS_d3DF53IN>>%t5p-V&dQ<0ZVFS5jkSC}qT6F6i7UDdxj zLHsZN7j0g!@P%oTfKL}(es5DY_&>M#e?S1_!;^E-x#rzH$o|7XKy8vQo587ngb2*T z{j<&Q*7{$|I967_Xs7?Sc|bpaChTv91277HHF-MR@|4i4oJi0(wbx zSsw(B1;5t-tOVwA{_y%;5eW2{;7_|AR7P~c%&q&otpC6O#!6oLT$lpDEQZ*dAW$&# zZ(n!?vU8c_02#8|uLm&5P*###guU9HS z4+!r9zH~3Tb=vG`&tK)+o1r6a5D4%#B+{zVV3oCR2|^&yXUac8J_w0Zgu3l95Hwzz8S;_T{A5J)ugo0*Jt_Nst*9D=?@Gl)xl+gAr#g zS*Cae$VlO=TfjH*{I?bX11BsumCyusc~?O%{r+1k0Gr{JD#x~f{j=S_q7R8ex}SgW zS|AMEIu$ox^{rKXyhpE2xVTd9Jj|)>r`A8bL=Jj9cNyLK(C0KII#|?j(=XDE_3JOE zZ{GBZT%MTmDOEPg-oyftl3vQ`D|(8@)pCMQo3mmBUU~gman@LSdjp&V6a{1>z?-E~ z2)0qTpOTR|#G`dahqE8`u|ce?7qSbq-CLN<@3zMsWN={3?>%oJ+-`dB zPl3y07DmtmbcFfMqdu)>?h{uc4gONs1qR+>Fg&d<)gGMg0kovbR^>o5#5 z3wXb>lQ@(f^nWHdf8pD_8>?fq0?K4Al`%4KazYA??UKt{_$6;W{Bg>)@kv6wOqsL0+f Plo&i+{an^LB{Ts5NNLao literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/LinearCopy.png b/src/SketchPlugin/doc/images/LinearCopy.png new file mode 100644 index 0000000000000000000000000000000000000000..d92cd64258399c6c09f7f89113f8f8cc22762636 GIT binary patch literal 24056 zcmbrm2RxPi{|9_z77EGC2uC@E5XvUv9D5wAkZ9PEy(63C=ol&U*efG@B%u@8dxz|i zy?MT;y1VawttSx;0FQM@F>SD?eP!cp%N z1INK%rgRk46ly3utj{FTNbd3NP3;7ULv0-=q?3cgmyPXx7m3Nt?bjj(n#g*WoV0-C z5F#%JGHD6|+@AwtSP(0T5BOtBm%-sbOZvKBGiDZ z<3XMg@%pI2F^!E|^4yLA?5&0m31KIt2*7ABd1zX{9B>j(a#3eO${vRa<|JIA4i@iokAo zDu0)PN;?pMu|2=awI6H37c2rQwt{bOr|L!{X3zP=B+dkdE7-tKr~ zPqk(FBMKR2;H&x(sQgH%ORFr7`_OJO#vh1&aC_nN!5K z9!;r1neqvxJ_coDQb-{bC#pyu>}YlJWCd3r*#dX#Z+lab7=tJS$D&;+dJQc%2h+Yq{_mwF4w+N;a8vbK)j#@@&=84}=Y zz&+^vVDo0lLv+EH=HsTxtYKKHlaaD_*k#-2{Me#IJ{!nF{SbM(?@7XjGcnFdS8rIq z&a3Gqg9#n2KP6^%HW?XvV6*dTFj<)KQOScZuf$hBo+W1#s@j{+Ru$ju?$c&Yr~Q0{ zR1@cncct$=KBe5%1YajnXS zI(#kI3>}^A#hw)TvAUw(W8^vcsM@Y)f1f9kyUO2N@L1y6DQLMA0#$El+ACJ%9&;Enb;bkBxR-isX`GAaT6?R zy;am^E%{JD+bcG8#-Y12=_WBs!K5*?I#*x0dRe5RG)~y&s9RH2{S9%Thd96S2ZH+9 zzFMzi$E86*t3j=e2W>CR7s=Qddsugi#ddUVl#W=AU@B?Poze(v(*q5-uB zEWBS6LpH7YCgY2$d4JJNd@)^D8vPp)ddYpgZe(FP*Yo`R;C#dzQK#jv($MBx$}2;) zZ6UsU(OWE^g>DqO?8!*9StzsQ)Q8*^L1UWlUEB&)>l5wimDfMlb;>2% z+Mqm|*-BoMZ89!OW4>z;g|&@4Ep3NhM6NM#n`^R+6Y|3J?%cToGlS=%4!+oJ)C;Vt?!jGFVuA6Z69l9yMdQ0D=JA=t-L~y4?!0c$tBRwo;RidXsVP#`+pzCV< zwa_Sk+kE3YmUOk{%M}aO6?2??S4=9%;tdvF4SDT#9rt@BuJU@W9y5DnvGnCi_J1+u zq>+Kb*>8n0^pq@ppnhv!{75o}Cpdnd-Rp}pY>sAr zemM0>TW8)Ap>hdr(@zp^+q~@P^CIq#R|<`9a&ps2*v)#=?w@8@n+P;hY`6>5Kr_p9 zbn-#y-dO~}Nob~)U0eiBVPg}s4P2>6CJqXPYNja_xbrg?M4#n_?S8L6lW$Pzc(|t{ zD^8e(5HSq7aL9kGfVgt{b>VW9Tin5F?~v;mmK$Hcl=of%~kVt%rXZcPsLmeHol)+FCBJHgY{I^|aJTlGiAMOK{! zA+$=;#SGW#=h737A`=cw^@<+w8rZ>SirS`k-NFs8xpa8WBiXAp^9{x8%Y4W?uLf@| zUEcd%pNvmDdn(@k8(PQE>u~d4mC?NT;Md}=8cB;SrsJKo2Fb7{$^MSF+PRv|ocU=y zA{Xtyt2(mut$cG*inCvgWB3|jN|lN9Z4^7)5OZy)WGtcAs8Mf;Vi2r-EXu@i(?}rR zC;`Ux^n9%dqe|iHgy&bEt>>#=8aMeaDw+8;*7IH##spPmQX63v@x8_|r&$Z$Wa!hU z!?dI+^l0|GbV_3=ow(!F3uF9%FuHrL7!%BCUY{NfD3)VW{v`>Oj7G-PywxpzOJwLt zsNkNS`Z*-6=&8;uj#%lX!FOJ}%|>|!q6Nn-BPmhZL3r>avj$SGep0yzvBh25J>>Gf z{b7x2-}0g9=5n~s;$WZ4__&wou4!R4rV``7s5Vx$8{fz^P3tSby15!sHyT_0)k33U z>|k2B5d)9AU99V{`>pt`c<)vNZ6kN8$Y$^=^9AWCqA%aqhQ+VV^a@!Sifj)Dcy0-p zkByaC%}Cp*y>abPFgjRvsvWAXoLwpnAtHx~e0!D)sdCs7T#n64V zfqU>wXVaL=%$vOjr_!$6c>I}bOx(HbB%I@>%s{zf?p}Co6MW_wt!E+Jd_mMz+LI!Y8Wg-)@jT3(Fs@^bdv!-ZaD{Tla=iv!|V&IWG`Mh)(hY~whq<5{0z zZB)8_mTlVDE!v~(A+~j-bi~Okt~KR#B}T5azI$Ex=*h|zWM}j0!$!u1BO%9D`LW$r zCyB+OZM293r_p0PZcB$aiJ<~ZqMl5h(Ct7f+4zJ9DQ2Qa%T61cS&S=PGi^%HpoF81 z^ra!%!&!OBim$EyVGQC$uA4e&bYs5P;eyv;h~=8-)T?cYZh!v>S=Viai6k0>_!Z}q ziX4(LRqJ`jOM z7|~s`I5cXY!{hZSL9mgagboDi`3$(&(U#p9vt}W$<-yz`Z#?{4&l$u`rWx01YN{X9 zSFS7#rfZ?bR?Z4sHAC8cs`5=w@Z5XJrAfoH=+?x(BoeAS@vY9(98G=aiN(mWZ$RGY zIv>3M^T>XbSFzJv>j-IyWq$wL^7Z$1v_>x3bSs1-r}N9dJ*8eh-YcDH9}i9VPWhC@ ztD(_LVsAFZ)J~w!Qw|{?63gsquCO`y&Z3J>#EyaYfdctSwk5kZ@$Gg4KI5Yr2@@L0 z-YvOTyMwnEMvmT_bfFh2#<~YHqc_!w`T!Ju!N8g< zuCUB#fHbwba+|R|T|R$w!$C@KY?+tr^}D0Xd>R3?(wfk6Ki0r)(;QT{nlXA9Sy^I> zg;pRWbl1)gU}B!Gu4%2!NFMKA&aYaVj!PBpv)Yu+pW}$W7kbtR=F&jE{qnSzSwmj+ z%8O?J&tFU46!q2a&j8$fxhw_1Uyw=-)obzRXc$jL*h#ns4) zch(~nW?gBf!L&y1tEDxY+*C~gw;d}tN7JT0ZfqW`x78jW?K56`^mxds^o>KWUZhFF z9L+k1pMOUS_w5p3T`5;2roX(onO-|||GOpsC;u;^T{`Bak2-w(M{JvzHuT4ikG6OF z@Pjft*Gx+bB=!crCtd&DG!wO4$RW6#f@hBLS{Ae zqbBH_DW4(O6gWGOc0Jnc+-aO!ZV@#?W}Q<5TTAOM1x9uT5FvaE02cLqGO)I zvr!)h9wvx;9UTyo9*CoR(TVt-uYg@uo4XkPta;R=n+$liWwAqlE!DtmTS7Sui{o! zPqB`C<&>5mZQwW{yfoys-mSSH;&`yPTxkaJIwh}!rsn@3;xO;tRlP54HIy|1hXw(S zh?MQ@t8iEd#;4<(^xzlbL+ZEIjIVX1^hHWjh0xGHnR&IY9D6ToYHLYu=8ewUdNQ6;Yp%S-GJ^LIO8;gOlPut#X z0SbV}Tx-5ydZTu4blv%2p6;M^B@N{jTQO)2B_*d>Hx1qGJI_tIs6Ln~Zx+5RUa1>S zRq3$OQ7)gKC+=#`wWJAiwEZA38>t&-@bRkf1D&H3gKg>FmBYDJ%ejm-r{@&)Mk5=R zO)AxU8?(djx-fnTRpqOU1+?`ctRSl6hZDgv1H{&f+9dXe#*S`Tr6b~G{{Uj%$t&qsLwyHx7O_Q;Z5vs2op?Eefa= zDOxF89XqZ&)7ZMJ5W4M?hvKa+;BGkR8`)wdXO^_d|8Z8wub;GD__~)PAAT-Z-^!-P zJYqA#YE7XK%UnOR#ata&6YsWM&LZ*s{nl-3dat?EBHkM3MVFZlxoV7=%-%an6Cdc z*M(IK`&zI1+P0CNQHUC+j(tXBIgW=HKN@CU=&IftOo&9pI}UCe#aGI2bS8D8#*z=m zPamdh`X;e?rQIf@`OXeEpJn)Z%Nxf2;6b4}Js&tc0_TIQ+{kYS^KSWkuz`th4Hy)c zCBGEfotGVsorA4k0|HBA5$~2VFQNU|DR*rd`Q#I`T?VQO6 z0uWQwZm!hkYo@=$pqiu3b@VCnRv(WBpvI!Oc1HAQbM?00`);;5r}c3lF}|1<-9soB zdnQN6!GN^s3t3?9XQ$Ks8D3LoU}BoWD5iDB+``o1rv@-1h$slsu9b72$;$3su&L_N zG$1>5EaAp{k+3^Av$$PEd&mhxf+Q3P3htiCG4S;>`ZAWawuIlKaiM7YmC&x`{+0}s zYbru7Yl>WWScf9i3qXJw_skOHX}9J`ZW%Oi4pux?YilxcLl_rcM_kkT#HuXR4bTGFF;QPkYv zNhNH}7A5bPl%!)CMlu)-!A!-~AbsOqbS4a<9Qenut-eCoMD<;hf(@~dk} z`PMKlPUEVKDMH#ik2~QPbMNd@9cJ#W93QRJ?lkZ!5N0?Jnx(&SU&C7TsZdXze5GBb zrtzts=lV(&F^C;MEAq^VJR(9Gx|-Y$r(D0);%vkcg=ZSDflN4V6lLbHtvcANZmLvQcJY`V;6TUV_FGcG6j9@MiO zJw86%?#sQsy|<8m{Pm1izsb%put%A#COZOs#nCY^F3o6WhO4&Uz6g)G-+n{ou?@^b zU!Fl+0z%_96NKCD8Y%%1oZ0yyp<{W(%`KW|!OX?;V0A5knZa&*E*ds@KXgdDV;ST-!bSupgv9NVl$jb=p&5GLnku=xM8jm%s zz3s!CBa~z;#!)pakJ7rGu8`vNn@if{eBq2T_fcu@XLM!;;y*-CJU3$U9oyB+;HY1* zCym3@-HZ3}qm|A?Ig(0KT#nbW3yEN$l1)WDp{>c)LM2N$-n5ER@R4+6=QQrjn)0JH z+-YRA*_iE^Tq@mHj$J#roG&Kq;W`r>+$k5b$7>++7>jyJO0(f{xM{7`z0Hs8T-En^ z=o?OtBu7ucWOV{$cEYFCS!UBlfv<=fZ9KpiPgiWQ%8v2>FaU$vez# zl1W?8`(OPd=lN@|bDv^JVW4km+n?S(Fm*qGBQ*j|I@3`L7|Zzd9Ss6kc4pQeaK?j>Y|Z9j&2r zPT9y+hLn|fZD_)h_|d_Wwl5L#RHgyuM~p}Il5d_8E5MEF>5t1o z8ru~ZY$P0>v`kNhIe5htPD!m#f7uV!YpK+%KWN$MOr0Ow(Z-3~b#q?bR}8g9rCDA_ zg#`xdEikK|>v18=Who<8rQ#l&Jz-{HiE;Ci$LqvEEz|w)LzvpQ#txUU7vFSyeK<9U zu}R>$ggmmVBfPv^87_wE?@g?G8D~>7r&GIjKN7*N@m}OZd|jIyVH z$%l7KkFy;$vDx`Gd!YiY89A>z9S$l0$|D6w@06mKBR+Ftc{4*SHO9pK5U9J#w_RU| z<+O$g8@kP``59;_x$kLW|(3G?3m4LI}J?@%rA-2QU z-)Ny~<^?dEORHUY3xT8EZ#&a!>-^t65NbEz%=E}A2pBhJ)Fi82G@Lo2NcbD5g$uoW1y z98TS4i97t}KdmZ0n7c9+?BdAc^DLfSKAbH6wuq%+f^Wd-{?wc&fJWNRoG%tGc2>up zyB%6g#x?dx+`X8c4E0s=ROK13kOci8dOBhBL90{EO+`G@;a~y?c|^z&;}5VGBt6f?&!C#{kd$$@lNr z5(b$xKWXEx-R!l|FJ=utC@b3`9y{9FI2Z5v%3hbf^VT*Q-%WFnYam=tS23N@d5dr* zBd4jPy;4Pzri0BDm8{BwXD{d%FI~6YdQqND++w)3k+IesS6q{{8!76zR6u+|A#hG$ zzdSu!*d?!UH)V3UNO7+4aRbc&q1(39|ew;u~tYnyRUayp3*8JqrQWb36lw zzpvG!saq0W%}dmtfW^`S394fOzN)4>dUFGma{9M!z^8l-h=-34NUIHRUw3PM_{HeF zn`TJ*X=V}AGjcD~3O(9N{Lfm`m!ycQdo6}J3f@1>BynJQc&kzGp@+lPfR}Z~j4W1J(YwmW_b4UHK#v zBPL-qhv*e+;l;tqR}|agym@1anyVEws%^Su z{mj9vNtl5$xBcZ=DdvIQ5$!g#q_IxdH!M-uu}iO>O5B}N+pZ$Ma7=zK<24D_jZ03p zJ{oYJBMf^S=GZOjm~n|N_JlC{{JY|;g&hae$f=0Up$$?s=t=Qp#YB1gRPXbTCO)X{ z)l}siKRX8;E2`?Az~KJ$$2$Te+v8{rws|ZGNw{S49l{nu)Q}g zzR}FbCig6qU4J+btQeBOini?a(wg~dQWnU1!F2i+7xzrxj6CX0=)vUEn+ZcqbA|Ud z2WM6?FI(CzH7YMHT-#}cq2x<)yAtiz_qR?L080C|!kF|m4MkAv^2O_7=Pr$-`6K;R z8Hg6C0#uF=Lc#C@pyew$?zWx9=|p5p*RJG+zVQ#MDfLj*z*ff3Nw_v%sSIYtyT5&r z#ba%^Y0Ezf5a%^I2LU(J=F<6^67%GduiPIvhq`Sx7Cklx*yk3C92j!EoQlM?6a_V~ zmfi>-b5NM|7W)u#cQ1S-u$b}s#D@UpxMK4mz$M3}j=lzN+XGA_RI;vZ%ilkB53#&* z9h97xp_sUA%0niiUh@NlxfM8B|!FYIa`cd$S3@QJTjp~oAd1tIG~Vki-)lfL{_ zgWX6+wVh$|(3{lm5?*8$@>-(bmJnNCN_8amA$UoAYwUd+Q%L%7fw{#2k=sg2nAX=k zplSm(593C@3YbPRtz_==Dzcp{#6DcfuI+7L=Q7knpXt*QR-FEi5^_j5hZ}lFcWuwk5 z26tB8mbfGgwAu3cYFT^MS}m@~uN7g1HW{IZ-@DfP9gMi&IgQ{-;LSi_x zxV~AyNUvzJMI>A)VY|dY?uAs5$x2B;(@3K#3-O|JOJ+G-S zkU=kz-*3SYM2LssegaLm!XBfKR&p8&0%6MFfVe!Pm1cqIEqtB!9o6soh7R73Uw#x# z!9lEdbd=25WnQGb)xS5DVzT1#Xfd}+kAHnsE^f52X_xGHlx6cq+}3u_MP~s&Wf>@5 zAio+MvPQz|BcZhxe2e>dCXYBMz1e>NN`O`VtWz^wn9RH|`Gk>q<*2T!`_f^eRUG`x z5WD*WZWqj!ixujVAeu3#SZGcT8eRPm0$DqeiOGOKWPEYUyJ1o{F}FU-Ieq1}F<9F} z`m5;{L*K#+mH9WDMyqU&4J(IK0WA)v85Vq3Sf&!%jppPo%bS2hg&85dogVXl@S>E4BLeHitN-?a2m;EKQ#@PGt+2@L|F4fATD)UaCu4y#px;%87L(O@*iucBS2%2 zXQe49_nX-HDiAyapjqVaa{zZ`DL$IuzK{27%|H4wz`xah!IT0tFvN05L&pUFZJx+k zSow|tKI8@MZ>GUb9|?;7fhT?eM-5JZ<-jc)^7pd&gYr-zqqy;&YH4UeAW3zudi$%l~FL8ynn%e-3vN%ywGI7(u&;AuxvO1dwO=xK@wH{~V~@0D4)`;@m(cQs0dB34?3H z3&WGrh2;NO=xi3M!S?ADULKwqZEJkAY?kUjMy;cU&3$j$dGa}C)l8M=%d0t`h6e4- zvf<^Thm`xT*cBB+mQaG6#?R=i9U2X(rP(O{agOasX!F4Ogaq8L-yiCO?tM|lHF)CD z$%KKk7X9pJw*}=J5nFVE+#xCR#SG>T2!d|eqhA}D{Q(wxmP)?Vc9ewTQL%0~6MDq< zHd5BNX3)(RwUjM9ksr)TsqBNu6aM1_(=_1%y#jIuN7v`g*!+U1&L?)z>ynBZya^BF(9ngeVI6Rf#K7h*oxrv8 z)Op8)(!x_Y9c=jm4oR$N>T?jON5Am(&q4lQ6(KPj;mFO6pLn;xi)dZf+k(eJo(*K!8fL}d06{8B`$|GFrp3POvb|`iBvbLd z)16|fPAa1MHdVQ_H{g}`mN(*X!Gczz*qZ@)i`={ELdOYHLu;U(Sr#gU21{vZcVotx*fF-|v{F1mD>Cavaq zkR%fWa&o}?=~!Hi6fUF0JZQ>Cv>M+3LxEqVqfVX?)D%mVr6~R9JQJB5KA--10iahF zI9I1jG~gTufO zhK%O^N8!{U*OslGFoJnOlj2{f{kMyar3fBDu<$g5wl>~HfP-Lf-~-sQ<57V82kxoHe1wXTIY8M@ z93}pN=BEgsj2|!oc%^y<`3dp={l(R6)HlVS8}Zrv|G5#IPX7Duz~XP;`=KJ!`T76x zT!1zd{5L>ma0D`_!G(Y9T$iPB!QfwN@c&i0|0BjhdTMP5Y|^iIJog<^ z{eh}F<%Qr2I0w@E->8xFCpB7RC5M|uKEDSz3b>=sfLs_)df)vAr)7m8sJ15I^5XJ; zQX6goSrG{SqydgU_!Cg&Meb`q^z%ut7Ls>o6?2K`^nccyTMk-q&OV`&f3lx8mhk66 z^&oqw_g66~%q0IoS#Th{^-dekDgUp}Be6lGKaeinFrPJlS~8xx;mUtONd`R(OK|z+ zzZ_^G#oZ*zhEE!iTBwtsIg@at{u`12ea1C-Sxh;oXBqNmJAH(8bb7At9E2nr{U@7% zm66KiV0TTq6{ZFESE)EG7H<($?niPR?EkusL^T*Ta5PY@2#HFH ztfOc91q{x3PWIZGkm7R5pKx8)= zb>cr-#4D!BW|4%cD1rnpb)_E$=pUBx%Hx*^{$ycZ>Q@MUuwZq_p9hD;M$gbEPA6%h zD622r)W7P6L>kLnG}5yFPojappM}8#N3U|3qB(&4fCu@93Dm}x6J^w0LYvCkT#td3 z7iPW3NdSk>ML8}Pu=X%=Y{(qe%>1JTN~3r+x0GILvCI)dIyIhZ>K-G*R2WX%OU#P7#bj{q+d`! zMbKPvDS&5SyxSxNhqX`%(pl6mWpP&jC*|YfO;Y+)ROsv%LNzR=9qXhCx0A=EjFx}; z0zaZxeknZE-N@&oclnTZa_1g@00$P^`bM-!b`Um4r&a`X@NaX#0Rr0_Tip26oCqj= z2O}$n&?YD2gbELTivVtWm&3Klt4k^VFcP>J_a|ng095K=*mmrUe_lB7ob8Bzhna~f z*&G*Ki-=)!{(0b-k3ZPUNKu&f8q@w{b9 z;T>o`452_YaKA99tlZJ&G@%5q9;EjlY-~!E!J+UJCu`TI?t!_1!a$#9VY?$MhZo&2 zJ}NaedTPJb>xB|<-_5X%oL_2}ANt|G`2xW+vawcD{UtEhP2X~0eWzFai_4RaMx95H z1TT;16L_%Ls9ZOe$=mlQTTf>xlu{tIYb14%Qm4Ry=b_{rZaoV+%nEW59PS5!;b?O9 z)a(r+2wWYgmEoJ#Aazw}IW5r0%&GXcmEm1859E!F1{SiE*#(=Un@AFgW7pJ10ECK4 zDGivDecElm#C`d5PAj!;lL1RToC@T;K6$Y6<;hzAo2SsjXSIRjJSGKw3OX97k`?RP zSdl*fBCG%%8yeBK${QVQyQ;!=Lw8@A7pX=45@fcjACJ$bMk&W*U@VIk$%CxiRU|ae z5Z3N1hYPPtDl(2z>eG};6@x%r;~ANVI!6S_?65*nR1=i5pVfiqf|dIpIYEQG+z&nY zec7AZdO}2iRu-i;$Eo^Z|Xw3~g~`TaFA^OXZk(kNjk$c)m#${#O0&3<+C&1Wxs zGge5|p7KJ*)TH?S;ZeN*#~a(UUOOG~jz|#jtukhCe1hN*y>xyb!mrgST7g&|^%9me z);K3TI~9WX0(|EPADTY6b*mbqmeeIKyk*VkGH1HaJ>Q8auCy z2bd@a-Y%XpCc{dYz*G};d{MSrTHl$H>?U+5?8-xQ)lNi|#g+%u^`;v3v+|1gVCpt| zn}gSr4ywwR;02!vVKw**a-c&7EJ>&uHJHmpcGoAOn!TaStY%XCHM!JA2zsM+@Qmx) z&~Q`j9!m$I26(f84tC@C@bHWSlkUoHO=Ofcje#r)ELKPj{?P{TiJN^K_3|Ze1m}J1 z9<*gT{OF!I5~g2ln@kr;BXOLpi+_m%J;f+ReOTz})^|TnevP}>!A78iR0F&cBkjJ} z7%Rv)=CQju;L)T!p^RJNN!V^0p40;z-{pVM!a8MnKVMUrFgg~A(9sAI3A^`Uz$k~K%X>Tq(L z+-q>UoXim9c@cAK@zbKDU1|-s7H_<{_6Wi2L;amiw@b?o`OP1dS1n3*zQ&_TrINaN z-B-R)8up;Y=##-;;;Jz@yy-Qp4Z~*ek!fj2J$P^Av}pAup=5Vq%(KQpRp4Gz(-|f) z$B0emISvon@b9~{4f6_LGcXxfbHcfFi){~Rk;jV5W%gZ)=J{E*N5d6Qg11=8WzIf3?Ox7IXd(99~0VU`>#LVp$q=&{=TI39=$4E66Tb9IdXzD6S+JJ!zg3 z9+kuAG1E=<umPI9LoyZ-+{c$tlYZGsi`}Xl6_kkx zjlIy=$J5nk42BbB4@OzK*b`P{h+GuAnrzH^{TcFGJe!w&5p1}u(x(9;NL{iP>H@d3 zmEO5_%4AkoU%;*zTC}tAl)}g`* zAA=f~Isr(W-u=!}saLW-tKYP8K~;Jj#+59#7L^vBpPe%`(RxO5iU_=N_v3kA4Xior znxMbA(;BHPR2sZ?cGVfZp{RmHi50p8s7yr&3%j^4?QJ^tQ2x|h3eLCKOKR1vl0Qw9 zpdJ*<&SKHVJOBz(4vvC)IL-wI>0Zf?hyeIYRTVj>ru^pZ*5=|VohTtsfi62BvAZid zj|R(i`o5-Y)~;m)h!qYLrOA)>XY6LZvslVf#Ers{F?9uhigYATR!7;Vc)TYS`RwS> zj_{f4yX(ilgZ4Tyq}^b!6?x^$cT6`NHsg8aqK){~u(>RjwP|q0PTAz`j8(v%E~eNb zrp)cMMsDpqJrkV~kU~8mg2mFllyi|?H1tphp3jPUkMt+mgM*tte9M?11kaG|RB)Qr zPV|wOO$$eUoXQ-7-~`_#L#gC_tT<#TSkmb5Oa4=P$Y*f;LHw_&LcK(}LccU!rnUoY!B8 z6`EDfWGw7WG)3j>Tj_M+1}E3zKW~25|*|CdgtfWF1e)qVDnPr6XKm-2?&kBd?-Yxg5< zewjcUI#wxrzIz|N$tccJ-IMwV+$cEm)P{|+{nPfq?p@*E{?mgzlr&`^g421*&WjoT z%ig>dCZnMK96$%!vTnQ)OMgM5WTb&+SRDy6q+p=DvHLp|d&`+&8ujxjd~^$8#mS&N z$jKR`PeTZTf6wV+h14V2ufJqL7mDB+^?dYULOFRhq8nCzclWc1s`#;Uo9BwvNaNr| zRJFXuPq)BVZs5#?3Om`I{2204B&xkuP-erY{nH9I;77XXY8VKg%a>ZyD}{NO5QQ02 z;jzf_%HxgFxJ{qXLYe8Cyq%6TL-3yp$N~#1DAUU-|4N zE1sS~OTOzM@ILylwN9=6b#Awy`7jrh*PJRm;n~bfWki6TL5I`c2@h{4jqE9O1Gg@e zWo01zohtNFI0koWpm%*%3EwodlS#(jPm0-SkQPJuO)={rxkmKXhR-YV-#AlBK}8Fi ze@W{vv(G?D-kW<~FI@b{X)x@amz&egdGYm{3Av%u^Y~Sf`cPu!SB}!voWkN;GpVg( zEc7q_k`KPL-t&wACE3&Lgt3_%DLz`a?t2gTn}mE$tqU zism-!@|8Z}F=d!urIk~4A8jU*-^^!I0Xzo07gN?twUnDLf<75zSQ*hA-NK&&4b|8| z$^>NRu11EBQ8DSw)fQYl})n z@Xx!M%JOCy|8!VqZ$ovKBn($#tuf(F@62nRhn`|rs=Stcq3dJEN@VNLdC@I?Keq=M z$}|n{AoC&BlrK5niOub9T^10vp3FJ&lp^EB!e#5dLA@U7cfWFx zUa$d^MGL1AcgNL|HaT%d_e|60IH)!1TO^@k6F20#*=4Ss;iUy0oJ|y8=zVt+3q4Lp zydJ`z8f}2&S6b9jCYv*eDKI)GUF8?8XMM(V1Tv~V!8T8M;Z=aV{#6HC`2LZje0?|P587_Qet%+w!n&PPBsh?VrO-d8^d8T})ZgXI98<14&Q zDq#V6oohkecyX{@NARV8i6o_jaPtVHzxkXmoh!+w{I!ZnAT5vq^(~Dq6cszS}T%={YN9;C+-DJby~2`6a)`&4e45 z&>VKQ{jnhF>iJVQ+rtsCrJ~}_5-iyXU)d}#r3fw9ZWf-#StovZoyLqCZvrFe&+a^< zH_4j%0A@7F!c5*e!K&*_3CtZ=Ri+0w_jB(#KuxKuIw8e<3`29Be{Duc_ zFKR&vf67+5KzstD5L*OYNO9+Ss!1dJfiul`$hHMKaO~8PguELEJKS z6Z(0&GpmvU1a+~pRE(HfvoV}cNcO_<# z+*&Yc^wtZtiQCY0MpkZHfhYEIkqS&#wWxL)eis8~<)KU4Ib3|@CT(X+(!-}Jw2kjy z`^GoYWW9K@VTuH`4{8y3UF0ul?mtLo)?;&ck0Fet(Z(BR`mLp)$VQ2|dji4o3MNnN->+ik%O2!^G zlaCXAdzj2Do$Qza^f=?hd(qz}`e(@nd!ABIQc?P+=KhUUkjgJ-HxG__Ny5wlb_;$x z;jhXHm`|R7`wN`l4Amd#Ha*BPt+m5Dg~dxmiTn#Cld+rH?%7jX z_@=k+jGs3!ri!RncnW?aA`9~U`R{!0+q6s$-tQz6m%*zVq?+U}=s$mz6*pzGqvK-b zrjyM7*M&$y*Oi!+RjTa`4M#-(o)K^&IB9|1weqT}M}IO1i5CCyrEF5=#SdN$pcm^e z6oa3^cwvbwY@@~}@X2#ZzAW{@1$`F;DK6H3P?=v6O7wGHS+Zq7^;dy`#q5hOTzo|6 zIQZ1Cvx#no<5|&N*eAfM|8`yAWorubNrJW7?3gTQ_c5}hm99+>JZ{^Zl zUHGn+@>y>NQ|%L8f}Wc*`q0exN$VxYGF8IOs){C4J2FDb3{won2l+redR9)3kKTcQ zQ$Tw*f~JXJt-oMxV#02{wp<%a4NpN$>3xoYA*!628?2NHMSARgiwxNf+|6HaQeFA5 z`d0tJ+Wh&1CbjEN5w|FeJwrhrpg@$pQH%6%5V-2Vx8%et4=1@d0 ziZ?)R$mk|Uh7#-W;@0$AlfZ7HidyC*uvrgnKFUnq+oEGxIB#}35Z#h#mUGBb8F|qd zt)fD`gHTz;*K*drrU#Pe|NyEt_yw1uJMy+M~&i z+`!u!>Yc_{-7M>}T&45Cm=Cd=TB12FYn<8de{nCKy6Ea&z7=}4J*Ldeyt=?+v*d;h z<2}#sew7<_*ctd%r*+4}uEFW5iJmuIoFje1k@BhMjhwL>P`B!zwYSDEx&P!zaJs0< zhUAvkLP?7_#s`&Ux_b%d1UpBC4Ah{UeyhpIukek`;*!_xh{a6IH+{z|lEH4MOtlAH zd>@yeY&1&p-0W~yOnCp2f4P$=1}+j_lP+0AWp!f$eJli-)q#?5UQ~wCha489QW} ziAs$GwJA_>?JorscKUae(GrQ6<`mq?hFT4NQf3qgLZv9!fJ4=fjEwW#mp`1K9~Oi_J)K1QK>qJu z4RDs=*Q08FmK{O40s?t2{IiSjHhPhN6nuu90xd*OQc&pBcjjpALB{gnY{c@V%8B4Y zrY-+A(;^!o?6||aLxvz9pqOSN@PHaT)6J-f1k`^puS>&64qQK59o~dK`t)O)zkpW5$B(uyCmu0)?*7x{4_1f|X@I@Y5=V-s#c&vJJHJE> z@-<*JfH``3r9lXpQ^9{RNo|j_H1f29NK1UeS+`9L{B`8%;qz~FuSISkW&MU;K2vU{ z*mP>ij5g$G;5b=Hd#4bIwf*aKb$zuuL+{^@I)~IWG#$ofnh?rPI6>=7d zUE@0XCk;FW z;m82mjDLy$&;UMTB4-`v`N#nrx*Jy-Y1T)~Rl{PKSkNm3GKW(&M-?68bOjN&o zHe#Yd$E$xXURF63rY+zpa|$@$VDk68RV1!RY)&%iy~jbBrkkfv-nn`P-$7c|9mI9u zJ~%1q4AW&QH&43ouFVqy@C;KStTwcX0o;?qWpppWV&l3)(@_f4Ao1aN-U3|z0Vv>| z|JK&GuGt(u1n2hi3W4(t?&Atfg5bwguS5R3zgG&uUeH9mNT;(;sF;5C3>{W0JZ z=64emxaVE`cWcrx9X_~y33NyPVju8H;jcE0TvQ4<_?!s3<9{_?fls*7)2|j9M>^13 z_j9e8xOQbBT+R2#dQO4vvA?RhfNw7SZ0k_q1Pzwze_;yeuPP;Ho9_R4<;VWiKvLqz z{r~9`s4*7t(t`!yq=;jFe$W97K7mB^|NM#n%njGY{;w%b5|Vb7#}T{|qH7KRPajtv z59R*-WyuwlohzgmWh_O~VhxjKY+;ZzWExx|k*KUK7{eVICR-&E!xUMktlzF-Oekw% zEQw@XLu9A=ex9lB@7M49dwu_Xo|*HU=bZDL<#XQW6vSB@ZMqs$!i(8fy*JorlDE?n zC5C~q>HvQFhdP)~8x^mqsJth;QwUvb<05BfV85ii( zm@waBtC^${>axg;f3$9+F%X6wy)PlGF)^!`A!vHb=J36%)%?M`CWATwgP_I&1`_)+H1dc?Sns62 zqcY8D>j<`Vk?P@EFXyYxKQ3a_7+fTD^keV@`v-rXGcyw8>`Xl$_gWnD9!fmRh(Pn! zI;6TUF#w7rVqoVT1$W{IX~h6E7AQl~qAo3}Y@L3YeJ(*oBzmku8Dx#-TOi1v2iS+! z(Rprt`%YG9%f@;#)yT*w;$zyl`eWr2#pm2Nzs|9AsQ+wPZM76qHhF-i;KBc@Ei_Rr zV8`rC>SaJhX*XmQBFcFr!6fp60?GL$v zk&sC_-fnf(>sGRGNVs2ZHCh@ne>{XZ(@CU+(n1wBu%EwG`Y-s{!B@N9z^kp^^jaz&Jb>8vLqLFQnW>-&8H+Glbm7k*PH$P)mBH9m-Q3diPEqAH zm#C<4wy#u;FX$(PUwbB|ks>3vBUElZN!E2aJ^P(>9e7U@NpO1m!GT#ZpNfqRokL~u z6y$dJ%_J#vaH|C3&}H)AO8=Zdn2pHDn&TOmItm5HYFer?1Fq~4QVAAn5i?%A{S0;C z3qdR8@*>Hi-3pq$093&-*MptF4JS6jrAPAZ=C(#od>o`X`4oO>E~{)Xie^o`eUCk# z`95f%!(w1@Wz4R|*s0X(>4a?$ePw?!W0;kXZ!D+2+5b^}KC(99iqC;LpWb8#3TyZ< zdxW4hTRvzF8|$|V5kTv#bV%*C*r1znT~ajI=eSTz%GWFVdbH{$p zW`gIA#zF90St_m`{W$0CRk?Czi3hB{1YVdfQKU?E`sDYsCY<*Oyf@rq_Q*1g91fL45HFn~D70G}GTn~4X~W3PR+iy60eMa+O|2ry z@z@O^j8KsI`tp}ND=+wJ&qZZX!X0U;I-je-3cpN0+{rJ+P3dJ1g1>Ibd-L^Y>3I|c^#vznSF++IcWdOdzJky3+? zm9rQ<8ruH|H(NlZ$2Ad;uuo`PSrs>5Wc5=WS3I!=p0^{MY}RO&*Zfa5h)i+}_qcUM zBAzeRM7P~WcRA0P@(N+ZxH6@ie*bun1f7SJclw9&GVFA7TF^`m0&(ta3J^lkCNN=# zMw)MDd)T`!ihgmg8I3v|DDribE^3w*E#LX|cF4=UWO>fVoi^b?>0$so!(>+sGpOAb}XhZti}RBW#|=tiHy4 z=#`J(`6Q8>3{Z~$^nr0iMOM^!0u+nZR_7eE@9rI0_1r=>zwu|p?*?&N?G*~{U7lsM z6h!taGv~NcADj(VlmdqhhQ%m2+5d@v(ZZA6Hi@tF8Q-AEUay1_LLa zKf|d`-lv<$D(3d>;dZO+KoZ$Uzy9viI^Tt=qGVTUY(Sx0)dy;hw3!a}(|Qc?@GhUx za_fS7HbxlUYSA%cN@n$#Wwmbb^+|4A2qtf|o>UeEeMd3C4_+0@CmIv3=sh3p9#c0q zDh(cqM}*mG3|_*%xG(WJG&|i}TjmyTw*OI1@chrH1fnKJ2?PqV<#!w50#b1lyVt75 zRenK#e`JiXqQbkq8HXZ6?k>bo_;VV)?`v(s{8lX=Y&Y4}A&}dey8DPd^UtV}!%_EM z)Ry<3szeZxtrJO!ob~rv-D$G!;XYPZ_1&Q0#mfzGeSkL7X-Qru%CN&iu$@+mbm!MM zc_mtq`M}ad=7yFaMsn!{>8oU?ld|3G7firanhd(4(?`&d~G@P?KEjj{y zMK{1uI|bLb%ed6IBcg9((S%+Q*S>5I2(E9terA`=!DDIBTKw)}-$nN51QA^}HcA|f z^vu!rw=*r-r^oOM>d(IdN$)pNE|#8z49X+%)81aNoO~JW=$g13!rTq+ib{Sj?NY|k zutO1hYjn*L)LXr_}#;|JPrW9+3Ec z=F1&uX5fjJU}J{h^i~1!wMfpaJW}La+r^bLf$Q%E0AxVV>#j?M>@GJ&zL zrD(48e}IeJ`k}uNY72pe(9&^Hq42+yKtu8W5L-Km?5fQ@5QzTZ_^)%f)u4FPwd>FxH)K6$^Lq=L5dd$axS113;UbHyk2Y(AD$9(j`ph$I6({9 z=|l0Dy@Q3bQb{hognXy@{2`C8d-U#2p-b}X{HFdx-sxX<40dry!GRl26wWaiyP@gB zbV|s9iKNu@lT-RZccqqbR8kQIe&=;FcJ-c{WLP0Sx87&Q%|7|ZZsr9)(Dk#0v?A^K zkEQi7F0^gZ2n^|zf9RqBGolk8-o}|e`KyHLW?o4+-)}}iYG?HjY|ZWwZz9w6tZz8~ zf7_bbLn=9>`+xmndkaM*$?jonX{FUZwF3@!CZ>Dg27JbPiI$iy%=@7=9?w`^3qSK( zrbJe$FxE@k|0VxpQvLv#`O}NdEVhL`vGHfD7=*;tI}fTn*RLl7+#h66|I6Zmk(PA! z6noL*Py@xNctho$MVrdSWT&PI(_y$;au~ZaL>H!0@1yp}=!s^AJdI5PfLmC2@v|Xp zG~~XaN_aDsG{5UyJKmYx7B-k@7iG%c^+U50eE(=x&=z+7Oi>Mrgq%v++r2sTM%sUT wj}HE5od@r1J^`~_+6?VAm-Hektbf}S^saD2Nb%_o@HieM!p6MV^z4m)15gJd2mk;8 literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/MiddlePoint.png b/src/SketchPlugin/doc/images/MiddlePoint.png new file mode 100644 index 0000000000000000000000000000000000000000..45de38df647d0aaf18c40dcc655fc4c83081cc80 GIT binary patch literal 14815 zcmaibcU)6h*REXw5m6B7iXb9wq)OL-bO^l)j35xY(n3JMQ6nHtdJPzQM|uYf(o5(P zASf-ggc?eKa8E#I-goZz-TQ|>l5_T1XYFUNXRT+i9fPzqm8dD1C{LU?L9L>!pmXBH zso)bQPCmbI3b+z&=aB~dIq9aO1UgaF!?FN;Ib-`!sfwXCETVn_3h7ggXU4T?8P1$h5PPWgmjdmXGj#Og zbdU6&PO=A=$~|RxuVEnS{G(C*O5A&utg;ZB#Xaccp7UhmkeWvmzQ}t8u_4t|xj0-n zHWa>1)cS6@91gg4S>}$&%a+q80D^js=csY?W8IXb5~^pbQPvjs?k^am>lH-+2ezsvHAujp)#MfBO^# zW~&CRaBANoJ%5QtmN_D6c2?O5;aU)dkkU~qe&3kwvSBL%9zS7a+G@#IXF3eR8f9=U z$s@j~6qGH!zu?+^#%Jtu1Zc9N{;?$ZO`u0-GF-OC!(rf4bJ6?UK$1>ggeyb&_>z3I zR#1iZ>qUszpgNqbG*A|1D-8ND{-BC29%D9&a`A2VaX3_dn_%Dc zw)xDi#!J~Oml55lsMGclGt&=hg1}NApaTqWVi4(Dr50fEQrA;C3CaeKD#Lr7h7fGf zo@>PUTg`uXrNQl%Gm zq!hjIEZR42!G9#P@o>fJXu06filI#1MjLf7Q&L^*E{o&6XFnd;m5IAx zS{uB(Yk!>D#o+tWTUnvwH>d4ixj8?`)ZIv*Qn{gi`k6p0XXmL9#I_0W#JqteeQ`Z{!Ru-&wY6x^5I zuu8a87G}1p{RX&)) z6lf?Gn6Q%hv(IN4%-kb{3n8<^HEXaq_7|&ihxm=205+na_{2R>C^se|if%+$DR-p$ z`81JW8Y8b?opL%Q(t-}VS)WHZTx3oBYEraPFekoE9HlZlxr{%hm;4y+H`bZoyfbI& zOmE8_G2uK>tFN!mC5-qY(dhzAeIE5>3CJ2%z3we;@psBsYNB;26r2anrxu_++eOX% zV0L8zDZb8&kqqp9&f{GCMUpH1!!%$ol`tD=uBxDUlRCx`f4^ye{!v1xzpFXxQ_gJy zL*Y{+wC7Ja1KxY}Gr#Yiz|tU3AG=h4qwcHtd1qI7gZoo~w=PMqej-fNh0EZ|k*(~- z!~T$crW)h8bQ_yzW94?!Fx%Wee9V`lz?Lfw414=-yY&0RqqeCN87fz8{g9P4c0Yaw ztkgl)=npqEdi}ks>dUfyr(4d4CYL&U7)cE*zFsKaqmyT9|n zP8mPDU+vm!@LSp4!NXBo_8>@$t}Aa}1yr!H)-8;HXnz#HM?|!LHml!o;F*n3Vq4Hi zC3*LzB=t(`V!$r=?dDri!|l~99&_jQYV*aO!rMD#BSj|{2W*#vxG}5K?44U4h&^i7 z3ayseoCM?dO6&gkfV3~&5ba19qlI;G|uY2spKHFboT<}Gh-P-ZDDc)O|J^MG%eKISN1`@-E3)x-JJg#d4=zg<$N=>*@Hkn41)ct_vE*XQVKtDiR=Z0&uf@mod7mqGEHoV~^M zQ-u~QtR92fDGX7qps@n!=~uj1!D{t&=|6wu%I%HPWDZB#`n;;Atoch|K5RgH(Rwky z`z5?HC)vTdxokpyY(%S*&|T^DX(>E+`__p&wW!i3T5!^bM^r221Ir+bNvHP;Xyb>B zGi=27@|O}M+~y*=z6v@|ELEPzs+J)n{HX438fz4@k(P~WR$dsRw=hci7(eLRmSO5- zwe_%?AdR2pU{H3-N*7I<+5AASON!NjztsF63DVH7=E6-{YCZ=#&6oxspt|Uvda;Vz2#wd;AN6WI+>!#lE&eUv8KrIN977}W+gsmr6XW2}? z)O+Mt!Q41{c@4a%7;cj;?w9piW+%ILkoMR`d=3nIjB8>92^)eUQ6Mrk!=&&pS62o31 z?^$Ad5T!|LY{bot;`wpxL)){~7*KF4yZ`<>dR~JfzGXHvERDr;QKtT&TV|wj4_U5( zm&$Y+Ff2+aci(;UO|TO0s@oani`ab_J)ejZGdn+Hbl7GEj%*(=@Ux#|u%{@tpiJCx zNgXcI74a zto1-+rPiG;__~!Ozk{v?9f&qQDYJY1d##59_Ebxkk349eFJ@#KEa|qtgS%q$yqn2o zw6xhw>!A7ZT(mlN2D|I=b+rgNoy9simnIqUUQzf=YxC8(`gW9_Hkzv%OEXj z*U`9#6Mw$2M`k3Pt!@>^vSfi;_D+zt-fgwFp1h< zt(-CD@I5T+WMgVlWCKgDHE)|#pnco9bT#FC*K)Hp9S4=?lrs>SLU*BGT}}5fgps5H z?t^`-Yb~9L!R1+~)!Zq}!jQu(KvwPJNb{Iv-+$JZ)}$OCC!jGd*^A(<*-r7@>TP9l z>*_(=tMPT|&+rMZtdg*uHSjzAoU|LDjHHo!F$t6x*RYOERXguXUiLq%+^pq!UM~(z z^vpPtk^J<1!*o%*HV3`46vCB)7gJ zmDpg|lGZ%1MLrlRrDp9uTr1c_D0B(kAMJh132rKxbLW{Hq)+Xd_-M#xJu@w}5=~{) zkNjEt z=2B73&5bJSOX0HkXv4~i+D62K#$D^L>OF;K zt&Zn-9*ZGfN%HdI9CBufHe+IK1YO8Wy0i2qX?1o3w<$J8ROWh;ns%lYGFF)W_{39w z16E{>OcHat>x(J3OV*w56l@xIlsYHqKKaCo%f(RK&h^V$tGFa#pPhXxYFblrw^eR8 zsGfG4QL-n2<*_cr=ioF6_h%WYagTMi>?)JE6Xs`&`F7_FP!a5V_}lu;o5mS18-r5{ zPJHO?>vy5Oi@gc6+Tp{`NSRJgUnN@?M|HDgcj|VsdWKOwi|tqv?5Tf-`ry%>B+f2F z%%{C2%Od6&xB0=eipRpAHEM6irW?Pr00!d^SJklv^&N(U(#~+B@||}rSTpByLmsLr zD?VF~V2%SGpZ=OWY?M~@diur(()w~F^=`Sh bk^+XXB|AFlEusocG3#=vvuZqm< z_L1Gu5`p9UGlQjVc+1NIg_MzC$py}uHFUXM!TukI19Arg$N;vxD<6ftb^t+G)+-Ch zGq<_m(t-^I#+Z72PH_85onBmP-xg=lqIv)4 zn*mLS-Gi0GjWnD;w1;v^ALlJ6yPmA8DY4p~=Vbr%OC)r*(bzdIvw6J`L!3{ya2S}A z+FQQWRPAb2D64L(*-MVV4wj%)P@`7fvmVJ&cgnA$AyeCyo{T#0B3Vcf@ZW^&2ZU~dAdTynHa4U~hb;l8s%!e1(4P=Uz#=&UM zul(B`6GLRd<$)T|8Q|%Z)0`Qv0-0}^9|9Xm;YdS@pk|xz14I37!)7ayRQP90AE^YY zKP8DSr33hQU|{Qhd9wF!PrK^PwW%iv+LEgZK5`aH!*5!-yq_P?wct814pjJ+%s3`d zd(Nf!aL>*@a(LL}`P1O|V&sQxMymnk*R*e4CF^_511DMHv7{tWx2(DAG{fxD%(=g} z;;*%G(`vcw6>k$Y+BVe%@x0~XdiB#0aiKKIiP;gF&f?|F0Cp1Ad7|Fb*%)2F+XTpn zbv4J-B*Wae0js90SEWe5>QjCPkKBp|wVifC+lX{bOe|b{d^LSn6Ebm zG)X{3r>1&YCam+Rx_1*b1nV>#PmMsCMZ9L}`^umlp>gAO?bFSR+cQPa*LsSB@3_BT zGW4fU6pkNTG&|Iai1o3oK_kH?47A{uPe zJsX?M8}`GbE78i4JR~hkG&{24Xd;pP!!|rB}clLeo_pOy!+B{PCz#9 zpf}uf87^3CoWH*aVITxKjVY4T6r?to<3X`rhCX^^{Kcir>8pte2-&E7Xo<}oqvGP~!_BL7I zvCiG*+whsfSvW(AKXJj8dr^JWbiO;M!7s`tNV>ByX43}U0cODMq&^xuZ2WV{V)ot| z_n1o-Nt}6$vZmYT!1Z%wPv3Z+axc)^2vVD5ppjbs<8U&G|9MPc9>rW!fah>~j(U{x z_R6G1Ph$P@t=*#c7V}veNs|66Rl5-A3Z}t2i@1VBWWE}6`;>DlTmsP9m-hR@FZnJN zeh=bK+4(+5-IEOReX@}{OY-VE%a9CP{T#sJrw52KA8NLN=^|~QO`*e4NI8MqnFh8C z9tn8l#vFf=t0n%$J6dBof8^mu=t{;}HOa{hlwjRqtzEd3Gt<_5$l@&V`h%dIuNXP$ z3dbGcPIl5%XD>mkaI_A(vLbf~S}{7qv)LlvP#>03#tX|Yc>bk8Pwp?*?E-vBGJW(Y z<-x>?pCKk!-0}J?Xs^>=$omsecF9N1(d@|wS%o2O$dGMR&sD}uBR6bMjA<{GrkojG z0T59!x--Gk7w4X}wMp3fs<>R{?CqhfVU-j*Bv37u(gRqkD$Vwor$I=X_XyiIRaGR6 zBV$~;kkSFd5*VoOpBb`~>?U*>mMGlwp5Rin3#nou4{-B-u4znK z%P}4UOU%;Q)=eBTh+e3 z=h+ujPE^eO{PvpYJTC-M3QxLimLITQV&9D>vDQtDHjIp|G!_T&dDfE@Q#}y@k4OAS z^>FW;w>m)GAFJtoy>2zfX^dwmwx3kuxw=kzW6N7UXox{zyX&?WvVA6fT(YH7jPBkd zHRUTPvYDQL*dT&|H--=s*b5{Z2vD*o-(D(V-du>2xGLDjBR?!65&;e@@f zv$ajsnXL&_#x{^Zf@8&Jj8yrchgW*)!X;JN5KZD^3G7-t<(5A_{ng-2h_s$$k$ur2 zIwFmzSCYdfyO~tjb4@S$)8dkuc><(sehiigI=Oy-(pg-uGa=49+4S>m%XwDLrcFTb zc7P3fPl6NJ(;Uz3{_KFTn#dU?vNq00yhJ+1ueqlNQO8`sR#^d zap}OMa*tR&ZT&^2Q0hLk|1Zjew{eEMeUa>c?3#THDko}`jNj|TRp?Ag?)6l38$g1J z#u-!ntr^zPNdYRz*ZbC~Eec5A-ppucMudFWmiq40eI>VQfZeI_YpNq-?6~!%0QQ7( zXFxw*fo*BzzdUPJX;IUSc;Z_-w%;%+#4+tUm|&4p`ekP3aI8adBE8cKH&Pq|l_Pxt z1d=j7KC{D>+qWm&e;?ty6=C6RYw}=LNzw0F7mc>q>-}fe<(CRm^HI9j1R6e$e9ByT zAq!dGj~c^lw#_htd*TPG<-`4^u^m6o%Ix)+5+YM5wWw;rwR3$kr@4CNEpVcc9w%=R z_NuC-{qX6q4JGffq7i&vdzti>^9T$`D2wmY^x)TK&#QCrH!*nn>n*d}3^buhbbjQbGd zNN9Ir1n=*btwg_yrq9@<3AGs5HKY-B7{%4AnY5=nb_Rxw_#LQ9LZ{i4dcOBNtX|*s zuqZ!R>Cxu<@)+J|_x%>uy{=(fNWSw2Qvx!oDcJ#X-&km!(d_OpgK5)8SfcFZi`@ObXwTXubmiUN z^*hl1F$-Taa7B(hyeO28siY_g8)bRvAeF^!omw0`xxY1UZAwaiR7WFAQeZ#P)*~N$ z9&>+bojFU?=gEeWN)E)p5xlU8RP8TFj!~2cR@8Sh(G1Ku%6KVX37(B_4=6x@K(-R&($Km>C;tPUS4ZA zM2*f!nfs?(bT|1JK*`{A?4ua@N-~T+#Ef~Mskz!?vxewj7m!Z7; zQii>0;)#y1NAdnnN*#dm=C;MYGn$C?YbZ+Z@EpSm>@U(-ER3ElJs29<8+qYsicjfo zirPBlHhP;w&qRAK{UOx^zzJBXGK)z3CUi5)GgP$D4#^RV~M`@%@urKqv~IYZCoD;tWE%E*z!7V^Q@2Y5== zdS*?hVX)eW%b@&;v+FzkK6_5pDvwM?J*U2TN=8Mfy zTbhdMo>W^n>%vJVDH3wENw;fP;-Nx8{X?pQG6yL=Ctv7dRuxkPJ}uw`C6>nL5M@0z zv|W$E{xxwR8PcF0e(l=~g)S!$47eHNo3F_cjU_VY$MFrwivp)~1y|4Um%rHsDj9gi zeW_&R+O7-rNxD@3-MxsRujk|@_g;f12jstTK>IEHVhHj-e75li`@tNCD^W}4+m~s- zib9Yl7pdu(XqVoQRV|Q-69WRT7m+_7UCae_j$M0j`XR8VvtsbZIk0h9R%Zci(oaC7 zU*`c@2X8^QEHg4tClbp+O^Y`<-tq#SneTAF1$`RYdyoI)J`=Ej5s{$NkY!LYZ0PuC z@`DQRrojJW9A@^HLGp2AG#%4}D1&(f*#jNO32L}vv$6{Eq=+Zd5Vr};BnGg3M}$MM zgQzus^2ABhq`>Hrk4q}ZAs^lcV!*v&2_6xV3zYnu9jwPzAeYGyy6Hgv{lTCTCG}R^ zS^7l)hKJFZs=;=~*fw-L9ZH!Yh6QcjDM#^odIbjlOsqkLT8Xh@&+s_(xxKQL>g>`( za^({ft%B;$O#!MlzOt}!L+9|>Zad0v;+>1u0DOFO;m zOg&&!W)4pq5+_w3kli-IUNnHjw9HJehUvtFxkXw9eYz0TzToqA@Yz)Kj3lenB66l# zB4~C~f^k?Y;3}uf48aqvn?Y|<>i8t_u96;w2mM)(Pov;2U3ccV`_QM|ewJq0D0}hU zcrgvu{?l6&3D1V#ls-h#mh>36rMhxoP2elc%f%!Q^bLwPB)2!_sd#%&v3u*->!#j` zBD@m4Ma-b{9?#4|iT)*;AVxbRZ}3HfNjFrzTkZY#{Lz?=K}k=Ip(1~i7Tb13422d4 zfblwxjPbP>G-kp@c;~{G#0|Ufwo3J_@Eqz2FQH=5r^L8PQUqrfiizGn_o+~7uH}-M zyz96~e^6#aexJAKf^I|KoB{Uz%lpg(=i2%G5&c|S#6uy zXP92_63@}qqI9I(niAR64qAKLlN`2V4dyaVIkOf@h*vm;Um!hfKr9%S z{w$!dPDcSE?8qelVYJj=jJoo6*|!t(lw(CJ9J+-&iQy)<^12vPI6P?hYt%F~-Y=Wy z`3KqG7W38Jyf>*$P$?$1Nr8IHBbB&zedb;36cXZ6SYtHHb zU8RDf*nDd`A5ztP_UbMuzs@~r89J=Rb`;6Cp3#^&R0q7Rr!$#nsPYDaQ5A`N_~2+o z!IaeR84hrftYprW%F;1?;QY-XfW!+1zbJRR5LHZ$VpV`e0NHPG0o46xTrH9QU(^IB z?y~kDOjD(#{!l{I@HF^1V?2;Y(%#egZ)!5QZ2iXr9dGp^2TeEMoC_k|Zo5P#@aPZ9 zLJS;m97&t*a*<_lPy6&=AaNrgeA2qvI1F?a`f5j}A!@(?t!GGaSfG*0Rk$C2SS663 z&d@R4o6>$WWj#zaN-K2APCbRxPh?ysGJpjf zpSfwt6$2{v1xy)J^8XcGY!E5*rt~}Le6MG0LM})Rv$+(^CB%^7IXbt~iio%oj7_Ue zYV0>EFs)z{&hL=hESaHFVZHF+IYaDp!PK>a3uI?HlHv!ryB^PnzRUHE zUh+PSlD}J+D;IxzlqxdT(QSV^lUjfMR8&Tx>4Zk5ub{#O(sE{lCUS-?zM=`gtaq^E@gRZD#=79BNE?Bi;uSb6cyfd&6Q~M*|?YuUCs%J)Ez3z zEz^k-HdlZ9l`+60harJDu z3MLCpQ4ZA?K+ui?iN@)(#EhI7!I1j4r_&3DTRTdT@gN7iNj;BEgDVXV%3(?S_gPD! zL!K=ZjM)nWdePn#dfhM02583(&>3t{q#F0LqKD5s;pGuC1BLDeO9q8=--Ww9(F=XA zK(`bh!{S_tZzQM?H(*~A#sAtWa*V4(ZPkF@M{qhLuX0+&sjGPiJqRiZ$!s6K>0{_* zoBSSXa>D*g@|ybO!_hy)n;BF4n$*!302*|tAP;N=+^sg0S|mM&*|i*IUdlu+gy(A9 z(hZ(RHYbS2YaXPg+{FsQMvMOviISE~< zbms5_re~8+b}MArz|Ew4C?WIdSJ+K^`9gce!FWg0TNGXDuVo&}nJ#h=+(lbc>?#Q% zcXR-Dc>(O=DWB|u$2JcA2`xP*Y7@$78IRV;()QGN-R+rHNO6Vzl&}&#X@F2fcrI8L zc9X@#C8?2z7{8K3%rG*^Uv|qhvOy(eiEWk-mR0B2 zYFMfo3yW{;&PjIX28H{#H~Lbm=oBkIDYi99Vp0#wd9<8a-~|2LrUgM_T~;rbM0S|A zsuj2NiLIo%Dg_N{cts4-)jHpz=Nk#lo0uS;B?l%Q+Qp2=y~T9uRnQA$w?^@2_Aa4w zi6AQbG1$t3W>?-7C~(53IUzriNPJF1{fKPZiELUGIa1H0WD5L5>eVZ%gVKP&7!mDx zv*+bb&){#*1s|uVi`ta9swebJH_ihLSKukPILdhkUpmRziDz>W7@mnb0f?LHX>@2o zAc1Dkdp8_gLi}?pf`3z~B)*@q_$44>tQd&9gyYBa5Nj9gDRCi&4*;`3*}uuu4GM_2 zjX07rmVrmvjVyXRfZ_cLNN97qO&+RIp8oxjj_NhT0%NQ?E&U@o*;n7*B9jFCP*jT7 z06VuS8~E8+b>UmAdGSXe)!K&wIOdCDxs3GsyKS#!PKx9oe12sh4 zOPaoE-^kbF1;jTX<6F+9sYJ}PQ2ttojvo_c0Xs1fzagN0tjp6Up?C5a0$}?Q%w~Cd@QvyM3x9qP$R#8IsJA^{ z5THJ>9#{d;-(vM`1XZc6Mj=KsF_$XqYiP@OT0a#daz2)I8-F)ilj$>TEDQ>T#Yh z8!dnpAT!mZ75FrxWHQIO@~`j?HodFVw{1u63byhV)F|6Za~#86LLOz(t441k5)sQL zB^>JNj_F*ZbSOgo^O9T&bH%IBF7qylZ^}s45oe2IE|zF%{Cu@k@Mi`pjE?CBP!ziI zRZK3IA*@@Rcr74qGCf}IWD?e)dil35Yul;D8t(D+s*TaRsb9-P2^NGK>bP`|YZqB*xDX)%))uF7e`2-SF zbklE0Vq?KrSgHkkaRwkepB)1cEZrOk0j0Tz*$hbVR`t}-4>v|)N51h|&8C)IIA#-_ z$m|8kbMC}4jx;xzW=_WwuGD-8a0^JKWx(T<4vE^A5ZHGgN;auHP4r!Pw-hRl>&4qN zl}BQ)d&@;_hv+j={hV0+?=^P|>Al{ek3r%s2zuj$p*UGFl6y4|#ETfQY*B+4GYG~2 z3kcL0IGd%hDEto_mM&z2NsAkTf>vC6zT$cMhRL!#SIG}QBM@3%PZ3q z3zp(_&qTS6w6G#ZrdasVk%C6Ik7sdK#OVTn%)?lF#JhwNlIUG)aLa~$V+fGF9yq|ff7Q0nqAqJ^7x;8k(w|Y+ zP#ZxqVG?O>cLFOUs*Vdo3rphm<_#O^KDLYB<{zslQr#_wn1}UCB!1=4q)Z z9i|^a%%l4y7R7hEP{-A-cl#s80h0?DWNt<$o64rc^exj+g5QFN>jg612F2Qv1CJ_G zEift(7-gE1X_C#NxPi7ehV&f`iHCxY!kN7logl4OW& zUN_#gr?NM{~qgUaRU}w(%S@+ccEJ z6xT?-dLJeR#LagP{F9;#885hOi^9_RkLnelGS53|cv0rMs5=qp$B}7? zm1z#ah`wkcS;%|0o1a`2M%#H)gX`QGe72&H18;RTTm{0_*qD z$8n@&0}IUT&*%e`w08B|alkBJ_;0iMH_r)8IT;*V0pwCap1MI}G*Ew#e_t9;G&0DF zqxY*d`CC5*1a@C!nGC4DkD6LBJ<0Ww*~|#LzF#t^4n?C63ykUG$)7aoDdzoqb_r~ja1W4a zPLI8Z=5NWP_%OQ;=Al_!_uJKbiNhnDQpeu_G(RUCZ<;c!|Jhjm);!*Ck0mt&xmiSx zuYlg;9Ugb%^$fR4>pc#?{T00pK&toz}}dACh&KM z4=4oOuzz(9fg&i>{;RKl)ObH)-rt7<;NLy^We`ou{J;A8|3l|+CFRHeG6+be53fr9 z%iDfR8O*<44#4(l?cb*bzx$j3jgx;(3dn|^?*FUR3G9~sje_rp(f@zYgnz*=tK`)E zZzq>Q+de(K$T7^CEYZw<8&1Grb2FDzE+Yd~%g|~*vd@Ikur?_z`>=uU&x$-Q7CM8;U1AJr&DHhmE9LE>$ zYR&6QjngVLJ021D*MZ4z2)@Jddj*Cjwgu~+4NC`j8jLABmux4F<&nZBnM2n6A{>@L z+W6 zRn>g{`=C)8s?Mr}LV<~vid?V&uSp?>SAd?b_}oPfj&sLC_BcKPIt`es48pfUZ*k21 zKHNDD+=Hd;hR7UB+`k{;(M3WJd`I3nrL516G<3Vvx~&t5dl)=er_OD}`;ahcKD0P^ zfn!(=@E3@VVnrV=ZAzIn@YQa7Y?V#`<$A@o?%0OKR#ve(j>FQI{L&7+`Og;A24lj8 zu(FX1R(|E0Cym2=wQx{{yL$ BTCe~B literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Mirror.png b/src/SketchPlugin/doc/images/Mirror.png new file mode 100644 index 0000000000000000000000000000000000000000..a74beaa168227eab709018c8377e0d4bb11a9875 GIT binary patch literal 21151 zcmbWf2RxPU`v-oML`57)vX3Jne2~34I7Vca9oZvE_AIGRGLD%&vq$zOMUJfO70S#? z_U3;ds*n2qzW?9v|9`zs!+DOEP ze*%0X1(mr6{=u>&`M_t-x;jkf8= z;7f{!(zhR~*_u9dGJIeHxo>E3?;-m=lZSNI+5chZ;#{J$2!lXW&&wes)SdMgVoz6H zm@Gf&o4ZlT_aQjCmRZJLO)+;k{kD-GWBy$Ui8r5cB~C$wu2wtERQtS@K#xg8T_*`* zk+~`U`ZkV49nY1hmV-!->6S&8KtwIMNX>aW9 zh$*Q+x0W6?&J#d_gi=nz&?&B0zM^<;CW0j#hR#7SXJKgVQxGF5?9-WM*X$qGU;a@q zG@FB62OmlhB%5+_TVdiIubBcvj!36#h0PFV5LG{5b5UN8Cg(E!smrY=iqo_e&8|g% z5iU`qgKkYc)=jJ?7XQkCm+@&9LVUgxhg*JPpxA2Yow;aOLSV|L zgBg4o(4_i)G;nAp3<($aL=(Nzf(^aAR=L1m;F#nX-A(Gi#I@F+T5|pKAVX~9Y`r=Y zyVoogTcC@XS_2i*KKyF7WEr~^tP;mbA*ybrFT|s@s0oVZ@L0PK6l&q_!uGA?Vb`ll0*WlUWL7&~3D`UNUF>47)m?Wi zG;O7EnIOr?A{Z>kiR8CSGF+V*DqSR17VapsyK7Uld@inLDZ6lgx?)Z_)2()n`s0q1 zD50-SP>Jlmfj5RVz{T#_uCg6F8L<`WrQu!+bJ3dgnM%juFtWSb50mwT#+k&JAEM?h zS;o(1HCL+ctS?mJ24VJ-O;^7UtwcWZs9d8?5`KVa`uIo+Z}nSGv0GrSeXrMK%si0d z1k}PspM{yNTV^3tS)p|$&O{{aYPI^3PCyV<>N34q@ zN7l^@7H1g>TVFbV`9scAyIjNF<>h9M+U?n_Vhgg=_X*`Z?A7~?V*7c48@#&%?fW}5 zvfJsdv(t{;WNK$_z@+J9{4cL{6(B$mabb=X)f_i8D{n#CFW`ZQNmw>I)T z=q^i_d9Ia_&X7}BjwX8a-J_niS+5RDCtjTID6K_K1R46cuF?uRFDDr?JY985-FREq zelEU7HyZFSp%yX4=b8Jhid7D&+XP43QfQGFR2@7z?K_i!=>phQj1}ZD37+g|TZSTF zi{;aC#a4Z}1_#-i?cU5lJyoAOM~YFD`<+b9-C4=;-*;{;7J2IbYI96MME2#jeQPvF zb*f`Kr-I4#1?EW3>Q7787q;80x3~PFYnGJSUR=yD_B!e3rCLB?pZizOl&U`-QC=cJ!_f1LG0*(emCli0{oF)4p!M06`4A5vR)yU8|mfr zgC$^nRVKy_!S!5Wp+2G+{bZH7vFT_HSObA&Z??-j0;X&|p^U}s*yM1gVgd`aNilhW zicC6L!`ry;J!bFY&i5^D-Cy{AzIWdJpl4&fi1wdvVgbUc!W=*hBpeS#_qx~!xwG?b z}xHg&%_B&q*Z~0HP-ZF4bEGpWe^-Aq4n^cWZvGEi~5cWLE{>O8l zUCZ7oOOruaZ0~#gE+W9zcGG$1QQ>R$)~O_LVYnb+gGuX6J+$%#Svdu+BQ=D;OM<1|uuuD6|sIMm_i9X7*a%*1VTF zM|0h-hPTe|;akZtq~saqun3HjN1VmdkK_kI^syBW!x|&4YCb8IHnE_M{5#kBa-ypq zO#UdhuY4hEN!kgdbPBa%5iQDiOW$d)Vwe`+1h2%cL8vl(q2Fb}rnJ;j?4z5!W{mzT zm%XQ6Gb(bZbIbdzAAsxdkKOq0RI?K6wmwK+!XGx?WSN zxF-8bbID$-?Znbp);;@N15VTh&)sp_(tEM|>-~50s+Mx`2x)W*rOV&gg@Wj;teNJ{>jNeWA$N_b$_PYv>}H{ z|B7cn9tHg49Tqyy-mQV8bEzkwcVpw($~_M>Joe6b?JJ?W_o-6Ir{iCC_cn3pRXTi9 zRM}&9oX$1XlZln0xWsFtl_x4vk$wyH0@ zKqC3W`I2pgMx|I_iTRAWzeN_Ba@^iswxTpR7;Y$3wr~IFBI2`Jh=AF5euzZ;0)`%yu8(amcM5_Y?^KSiLdAnyf6c zrrzIR6Ck?%jdu6TX%Sb&(XtL=ANK{GyA5Z?`)c=#4dD}<*`h4$WxJ{5rg}_x0~M|1 zwR;Pkuu!9*HiG43=dzWuFKnY9Tqrv);)@w8nZQ5P3{N^S6>ve5b8KmaP(SvD8kJbe zYxeH8kMER@O7*YBLNkW%wTORJF#bp_#6msapI>c{2~1RrSf3fq(g|$y*j}6OtbQiE zJ~vuvpN9iHWJc>l81+Aim+9%@&Meo^5AMjyO}Oz`U7n7e4#fyX3p3JW*l@d#-6|U-cvy zg@S>_PRrY__G(2ezY%d>1O61f2l3;|K~3D6G)2?T7zZ~vI(rLDnm_nc`Z2`0eJ>x~ z?kz=7EV{hu;wk05NEzP9-7dVcK4hDBD{rK@Pv7k$bDARaPEARPlD2VF_U;#-^StJt z#A8LL8mOgAt42WNWY<_3RU9EJaBrllT(R=&Q_6|r0KwU-YZ3eA3MP|ZmF@RkC>P&?cLHuA{xOWo z8wn%Y@}a&r+0%&Z*?~0KzVETF8w&$&-7y&oV%B{bR(YbszC^>@X3iiyMjFM$WEVX0B2u z1Y%H=1c~N*PQ#<_0|Bu~1_1EHdr?*9O=T`;KeTvcI418{_IRlSVJu1Rlqrq(0%=Sx zwgoqZT&%WjKd5tWo3d9z>zx%1z|_XG>}6k~dvj`C zCeRKxL!KThty~fw?J_znY-5j$Eqk_8?Wb*NygrNS!BxKrm}Y!S7Vgc}({Vfb-mHc> zH~h0#ZWtAXky!wP-+11}W2ay4$>OM}qiWOI z0<9a(Xzd=&yWB-4v{!7&2SIsqVCdBhTg2L4slH_&7JN9<``W&bC}ZeZte}&{=xet| zc0Iv|a|1Vo8vL~D%8|1cr){EW?fG_BP;DpGyrPvOm@55QTqeE$nJ;fuF0tvWHj7xN z9=f5wTL+?)jy6@~X#|XbAodJ0h1)2I)?>BfmVpOjqdrP_ysCL}TEga(G%>1bB3MB) z`*yzjmiN&2#cq%7i#FHU65$U%WX11osL1+zS$v%@rcC9~5is0OLKjXEx!u!Tv?C1= z-2RroG6L*<9W$E2tTxPWuQ{(;?TYg4H$!o>;rOEm7HP3pGS^fh*z-!v^K+#A7&G$j z`boTyb)?{}ZT!Z#?7TgbR%_o#J+!|&O)woVw(;4%G0yI33rS#ixO_86LSkK}A{Rfq zqMmE)&&)un(E!EF4%bKqT_-sYe zZ;VnrNC-;Wt|{Twk{W&*dNNIXlO(a;oRyV@fpc(sJwF70wAN!jC3tQ~Y~7Lp-P*`O zhHCkOCXqKT<=UF-F7~4Whdbdo8@f&EAKMBfH)NIOoX^v(kBD=ef3=73-JQL6zv;uX zp>>O4tFcf<+S(u54|)e{o7+QtQgggEXY_4cm10WVYj+=O2`SK16N7aAAqyRPQ3$5o zK-W`R$Pj@6@u1P`NJD{OOu$LA{q>2EgN<9Y_r&h}sNeEyc>6R|_`rE1PE4q2H!G#M z3CB*}crGraO?aoOXzpOFFRdrLPx6YgPEC6p?M0UZvv@lh_@aSFt#e;;U_kj=?60+M3;@Hmk*_~53vSf6T*biz1%$xudV(?&$JrloSM8Gwy=v^4~$;# zqJiJ*u++*SzQr{!t1w-=z4+|tK*!6>c#h3vw_+S;Gt6S# z29%XHw?Hto7ZQJM6~doYl4qTJG#R*)p2E;c zfkUH1%>B@TbQK1d)exi&2{19jbJ>Lf{A15GvSdECryXp?A8ZxI?oMIo}kv@s9^&9g}way3(D^mQ@tM?qD6=Ay}cHBt@gfC98C~r^Z;nu#^uu8{cfk% z95u5gAS{p`nOO8X*cq~|t#(`(yrpZ`z}ZOEWzxTk$5tt)*Qzp_7G7BNx|7RhYagI? zZ${axqC}H)dPb!2T8>s>Y6U5C<2q%~`W5b;SR{}~`l7+cxBMKbXKS6WXq^)#zE_?e zb+@nF+aXPhbsTh=?%5vM*tcri_A)m+^>xaXZD*}^GsfQY`kh%p4T_bqfT^6*Jk~vW z#wsPQ3!}TH_OXJaqRYswcp*^Mn5~pupNX_P^Msa5c2`PT^eQ98prEHYG=x{Wre;q- zN5L8T{wT)cMy5#a)s#%3xwLfB%+W;Of{}C2iG$_oP@j!JZ1^c0v*l+{!l-KGl`j(z zCVFPpk7p3}A{*+mK48`!CsL^p!Ol_p*=)8uE#6~2gMxc7BHU4@AZ2lR@?d(f`8Mq8n_lA@1sme@-_O+ol=XKII@D^wLLEjc#*tjy+i#oK|*FS|E%FnIhXev!u>O#z`&P! zV`%N|$u9P4b?vC_IR9=F^(l;EB!`)W!s|((0f_rnJ()c}I7H^Vgw90`60F{mhTsgN;TE_VYexvh&(n7pK*}Zp3TC zcuW-Cm{bpC@*Dvji^gYE{oL^(94S;Hai;I{VA;x>h$86=p3s2=iIXlDd}4&0?nQrX zuD`&syAm5Q-2LsTTYTBVzS0?inw2&ox3!AeeNritfR)v3=$3IHfmxiVYrr{GVveG| z+U1I&Nz$^yCN-O#b}C_yaqo<|?U!ECG>zdk+;=DI$|-Aey%BgW2eY(;9WNr8hgUf! z(bXT=BAkXizgQ=Ng3X8LBty1Uc6Vk+OEgCdRiwCNO+UJ?C`Fs`a1{1=@Hh;Nn6^f( zQ5CAkvY(#XsEgnzJ+QgA(>%zf4Qdzy#6F=wZFX;Nr@tz3H)yRmzqcGQ{rUcT(mws2 zZ;TPwL-FXNXLm-$D(-cq&0CO(kF?v3?tMqgQgt|uWi6|FZZ3%N9@4mYGBbR*hzUrs9}1o80(j5j&ytOOD}IS_V$UAGI7a@Xj*#+@GZtG z`;OLrOYrYEtfmR6`uL3yYQcbYqxF1fWC~r>)iLvAtz`dQ_|^UHsx`V=1Gyc!3C0NB z2g^-Nw*CJUk3Vrx2wx>L*i~D&sN_>5qS~VRw4Nb0%`6D1k~P!3fqK+aF`L=kCUyY8 zUreC?Zlu#b*Q=p8RifA8vv#O?XVS*B_2zWU+QF;h^~GiL{fp}t$Aj#GktxE0w=jym z8yvR%DBdWFNi?=*={QmeN+B^{_DXXa4(eCBao606AA}!f56%;yK1pxpA788B=(`(O zv;2{@*eiNyzre9MoXsS4+YMIy`f*p)+FOG-_w5eZgKy8ob_aTMP+iJm)r<9EgE4P} zYqx&@u;zS?F!1~u=(XEL>ZO;ppUgH$WV=4`78DOb{sb>*%1G09y|F+wjLLpxi2uOI z)Q9AZm&d}%j`ZE_LCW5%6Kgi1h!-GYV^M1%hIh(f3(vy$e|#y^;^3YCG#+^4z}2Ks z_WNA7oBYfPtCvCj_Fuj{l31xE)ca&Vx?ioC(JA2k;|r79hL$oF0SujYkF$WQ%vf#q zX);+WHQ6jvUE6zoRj14%GJyWA*hP0)W24EBU;QqwuG6?r$ltze?~=l~zKZmt{3g&^v$@*Yq0(J(sX#HBR+7m|rwV z8Yy`J7`{d=v&6e&kgal1vZfc{HKaYu#bR%q+R~?qdAUt)HGvo)1Ch%Xu=AFRal5W7 zQ>^S|;=Q%C^8neI`wf>DR$p)$)_s4})f*2IG41Mi*rIXSqNme{Ki|FDx!gE%J^07X zFEeQoy7sMnt)OOFNg9#v!FBA?N)lPy1WKf|(QVWZ3eo*MF>UIBJF9qc}yTMc2N4 zlu@=ZcN{Gn%2qA8re83R+P*x*oS{(Lc^ZJh`G%iskl;18UqMvTukHCtGrsv)VJFPna!ml z8C5+~#te<_fP_Ph@`l1~R7~MM?fGJg`{UI9R=rksi&C*e=VKV~xErU7LnSodG8EPv zys;hf<4?jE4RzPr?5*Z`u>}s++T^sJe~wI%kLI<}E;6TYzBkir^Dd{9NN#whYIDqQ zv22_uqdC2$qmAwAAmJ;A@3gt)V^2sgetsBIv6Jq+@x9WD%ByNOuW#zKL~b_lmILHy zS_$^tqBowVncLgENaKbeqE~V-v4P6P&K>O%D&dUP9AyOU;(Y(&dYYSqm*>)FHd-Dx z)A^oO+JRE9TAr|=+XXg#I!-rsS3WJo`c~Y{E6AiMJm^x6&mMZqKN-pasw5n1mn~_^ z_t*Gn@-!Cb)Re*qs4Yj6hXc=nO7mqcbo}tdH2+p{!2)_VqAm7W_4Ts(Jgx9}_SSe@ zk!iuD5aiOkZRK;-^QkiLY&M*rpn8@^J@^Vb!9k`-i+V{+c|SgP~qkbj3@{mG>~#m%uJ)I?4>s zgt|`l1wKpL5jog7n4s`Y#xjDRWm}dA>*jr}RT5~JL(lQrcowwKP3D_aKRtb(MqP?UMJ|O-tPv&q zG4IuyfuNhI>04!(ESs`p%o`7V@^ZCyR@sVq0(C1b z?B}%CYUIco=xFmaY~NGbYBPm0K1bxbcReQ*LtW^$)yNXt`L;(m*Mcb+Jd2}FfPfXB zfz&^J_*M}ra1f@^t{AtEtfgOg8s2PFnV4*AGpqq$PHhwnj1y?gghEvuJ%4;Z9baWD zVrL8t>6*-*9L0nWM~|oH8r+KR_Vqw(jncZ1(jE;w=0N4%%u;~T?2G_)dQ|o~BwSr3 z2`cQyx{M#Ws#bbO}swlrE@7Z*nE5)wnDU0ZDI7mMZ=;~p-oWHA9t zQRJ4ZQOT!`l2{)ZNp0#+Fvzg`FoQneUsHFW14Tg1YKLfEosiGi)+7ZSN*9PceU2Wj ze4fHX;{8Q#-tSZu_ZON~$rzAc79<@1b zQ#n$ACn+Obu)tP)5Zfs$A-vo)G@HA|iIgKoxlh{4SWhScQ-2~I`W#_N26+yWGzrEB zyYrpg->Yl~E1aIPYct^q*pnD!(08PD^hdQ@+j6Ax8@r`dHtui5iVSH~e|;6LUfnuq z3e4r}7i5^Hd?W5v^X(FuJLsHQUgXZke)@$3#1#I5FC-Hew3BJjM3>ZIixz!3t(#iy zH6?pa#ouG|znEmnBU1)yc5>W4=w*H9Umx0kSgq3MUa&YW$11by;NoEt?jWnXHuFe1 zXMnyCh#>Cj4*PnoDn??kcB4@#Q4S8_2h3W2{_t%ARBJrW^rV6I@YjLPAsQR2F#IM7 z&6@I*j@77-43*X%n>G2RBO>dU*NU35bt0@io1)0MW^GsUYvsDOODZ0_M%6K(k=~Mc zC|nFfCytN&gft<|?r8M)3p5L2-7F-*(V^Lw5AIk{GX^NzxF@U(1%8w$Ev2>P*`l$M z!DN&9Jai}5SzRh7jDC_$LxF(Z+HHk+SJ6Q;G$3@mpc@;8iS!F^yZxi!AD|1GsGykF zqg4Tcc~#0TDM{b^#TK@lOL?fS?1=3ZX~b?vNo3NuG8_!1muC(U0AqLw5=5?uTD^cn zM{~H8K~J{ZjjXgh*KbXueO5-`s3tVgt_GE@Y+(|j>+;DYXLqzu(ihJ8oOkW68ny~z zQ3|xyE8TzeAnNsD{Kdp+zcD~g4xNR&H#_@yhVMMJV zL|mU3)y)DSro;*WPA`244owI;60kTtn0OR77}JUenK-2e6Oo2IKYdtQs5z!B*CLQJ z5l@KOdMCdIsKFv^cp-EgzY#tOmBS~%u1G9?2pOy39bmUR@+oQf zkR`gK(iXTb2{{7v&*HKl-(|4NkV!PN8tqLBZ`1ee=-r zL>>Rj!@ReZB^z(SN;hi1CG8L8Fwv_;+RGJ#SV*_5?l=QeBQTdyh$K(c+C*Wy{45jH z$TMt3jMWnTf?b0hZRELpMU9j2pXd17txLVd@<9!SX+?6lenBxzMTlHJfCLa4FrUB< zpRA%Wm)GWo!p+Xar*v+oLtA4pomZG0iUOiTr!--#)LMBhj&g~B!@o#Ph(|cGGKW+L zZ=<${sY=hQ1rE>pk@j%d(KM^OSXKm&XV|MZT>U(V0x+|3Xz$XA=`JI$CxaJDaEhk3Ul`{KCOmf2$|i~qrN>9 zUFl$RH+gElpIR!&+x%eSGMiuZ^ODdQHnO#(jK@4`)Df>0Va-&Zc;$vxvV-4q*|@Rx zl`XrNwwReHPYM?YsBLzuEfy8!jYVXWA`Ri$2=?2y$AQF+H2!!dY{Fv7tWxjkU4eG3 z{NxvHvN>_V?+d=2u~bMQn+%3MV1CaUAwQQ9t3KSz-#Q(m++#OLV3DRZmlay=I%sYc zEJ^q;E`aZ~C{GZ?-0`#sWW9zSQKRZ$>{Og}yd&QsFFhNIdCkLHneHzbpE+JcTAe#EBa<$9_-1fVvSeknIi{?txU+@M!8$$ z9H$Fdoj;VKCj=?^fZD2b>zIPSc_9a<_a*+z;MjrMYcZa9(Y!pIE4h_J`wykIlbf)@ z6Q8sbNHSAr=$^2A3C0azkb0koKUJQdg=O(^Lw4|Q(x8GMtcf$9%;VR9{$Vj*=TSfm zNxpWmpcB+pSvBwev5f>Q@m>8Fmxkoy3$deg??Q0v9||dR%GPgbZ@mjeDw9CQBo61| zfOWfxGgew*xrjctw-UODw1UT-^AI z_ALbjxy#)YWYPl68-xDk3t|cfA)knEb+Lqfjj`Xi-J)zt586o8$Yh_sq~gidLomQS z$bRBE)r_|&*1i$Wk#nQ4Hm)H?MxQhF7A&Oz$cE+QTa-mmoRP^tj1TO&Sg^i5>nf=F zy`Td|Y5)o80I_8?qL<@VWAOHxLbu92A4G5_DICgm^9z(&oiY#s+Y^V;r&+tU?nC2wKTD8sh=G17&x7xLSN=?IS)(;Ij0Y(Wu5%+>l zKxa;4KLpspxoSlC)%UD?a?GJ3a?D?zc85NYE$ZfY?K*`Vc*N7?kt~TAK9LZE*Y)~=XX%<{lwb+#;3HvEkv}~+j)ZSMLVO_jO<6J` z>Un2*u`<191}fp!>Ea6i!ZDHN6JbwwiQ()h_a4a2S9mkbnl!*gD$4}SpyP~s4$+1S zA_PhTI*@;1oyMAix|GP98aMxy$~+--X;!945mYK`XII+AtcWsPb+eu_1X^t>%FKf z9!&V^@w%5Bd@-PjBFD)EfziaHs-$mM%_l} z4bi-v4+RnIZj=y#@vaL-AzI_17_Gd^kTV@zIZy&*3N82)m=)>a5({+VbRkn#Z(6+G zA$m1`V@b|b{xZ{L(KK?LOd`wpED;Xg$Q}^5{s?C9xe$aDJN!Jynenbbu=s?QY%e`( z7Zd!k^(;QhJtzR>UlYHXn>2%HzbZ~9xnMu=qhP^grI|5Eivf*+nf)l#QS*8vJ@%vS z?nKAt_HAZO0n~-e3ZN^VbZFv}oj4At(Dm|-w`QH1PKyWb(>3j*HF;7B+&eBVPV5HOAND7tgx~njR65I7+!aM>7C=wkc)FTDUVg*R&g^Cv;Pj@q_ zQlu31l!^+hmIj`;+5h$_HIT?=mpDO?AzHVj!rFOYyrS>N!={+1c|%9HaKmP2b=9 zIZ^9}8hup?IR)v#ALuM7H3@0fj3DF~(&w$!J@J74b_Ak=4lV7+7BILJIjzSiX)5M_ z5#D(o`+*vXW0&j{<@0n#Mp-ola9p{k5a7uwU}W{6Km3ADEmg&2Gd(ljNp-Dmn()?Z znB4GcwqNwGIMIy!NSs0UGNRd$#&${BcB?HSZE5T2_yZ@EUlzs7;2%@Pn-Q2BwA8^@ z2J>~iypyEaamtCa6<~TXPyzebIMR;`WNOo3#?T!LMs&~a?PXUkmLxqk&qJL9e^H;p zqQwEFySJZ6AygA2nmGukZ@4(H%LslrTUP<^lx|gT=*DpDW-Rd(FDU?e$+ zg~SeC;7B)ApI?P~gq~zXuRp?%6k*afo{ZpQw3qm<8E_gKrk+6~ZOCyD0T0FXVB`ye z!q#V0L z*A$Ie4&_D1UsbbEwG^pMKUnX+c`C%vcVUmCK))r*=;GrlU5t~-enR(orW^CLc8p#z zDf0C$Ok6RD+nUfaBt9FN(bZK zvCp2}+fuD1wGh;ew6<9s9Th&4WEDBR`7#x^;PZTT#MxJLi}KRdiXm4#p<4SDb$#$2 z`hqR27XFeq5KMz&fG`xbdJ_A2atRdjq+vNJOX+l$VJ=F8DrvczbcVjlQ)V7KE((dg za1E|DA#@^qxtt8oTe3(o3-K7gZp^<4gLcZ&$fUwfsbBbQngH0|to$Hej76%ITo)>I zXr(GpyyoDT-$Z)nd!uu^OTo_j13e>-n~tsXA)aH*DY@#-2}A!szUg zYba~aa@+~YH|(f~D%?H!jw%ws%zilc+Xwg|1dZghB9)o-?B(O7zQ{+X1W<)_&#Z^2 z8y6|kw7&1=;%XMjxVEl3)m^}B(N~lf=6~DvijA@MMTv*k5SjKdPB1hw{gMU}mi0&i zjA_s!;q~4R-+DX2nuEETLLDO?IH0?}s!C0AG6~?eQ1v`)%`h`*v`Ee95An%~oN7(+ zZ`q($%j&m9Uyw6iIZwohZYG3Kb}@)F$Q#K|JT)%D<`tR(SRXC{^2S0mBuQHE&JQ89 z=Es&BtE?vI5+9SBf^t(G%j4HqRP&i|b-HXRM7Tz6qplg&im2|5SCLZ4!_2PnL97vN zMe>spSl_-EB$9j-bzGWPGPXG2isf3kHmMn&D-feZv~ZWK@y-^?F(!GCi>R=x_8iUq z8}?)yHwQ7-X;G`R^gTi#mJ7y+a6Ld})8H}F{j%RDM^M*eTVA}@EXj?$GA&#b9Az4- z>G>k8Ktg9Frt!N&c7aHp2>1J_IKB{eF0s8=E7qtLM!Dj1PB40wAWT1?>L}=Vy337z zqP_tlIhUk0j5%Y$;H4DrUrKsz&kUAj`qB1w>R9#8D~A&qQyD*EF~KHy-cO%LX=a>* z;rjJ5F-VNO0=)}taCQ?D*WpMaK7l+vp#-M~`64j^2?*(qg(o|)$Vs&D^6hhhP&hOR z#uE5a9+nk(Oq8#8L2c&I1%sCowA%4W@u;u~ymgY8X)fo#Ad66eda^k~ft2n_dZ z9}}xj6=9C52)5ji@SD|#5xO}_*AimFGCyd{B_7r(DHf+zHhK_&MO=>SRdm_ zquJ!N+j^YXQU);S?J_5xUp&gmpM+sD6wY~{!3OlH(ogQ}R~Tz{Ki1B7WdP+Wae6nI zA!!KusI3Rm`7uPKfoXKhHT3!!?dnUvK>$hjM)g$odTR7wH6_?RmhiyLud0lY6fvS0KrZ3L)88Z zJV5b67o-}W4mbY^!k_ym9(sqL4&vwPN-hHs3^NRuBj?6r@k)VfduQtYk$)K)~ol$Qx^zD z0FnMfLho#M16uW`G9F?58lE*O8_I$@=7fJU!DeGV2v~AQ%Rr?{O1L5(9KhL>SEW!x@GRlJh}6I7Vb>)A36^TTQA-%A&*6S zhmuHSd{Beab4d?SWb`%^qapXh2wPoy3?f;7Tw=DvflUC*It5RWTUFP z2K|^fN)3TOl`a22L6Hs}^r*`DxV6LDE1+~-s~Ulk_QQWLQq+;w>GMt^i&~m69NJ&1wNmt~khlv7i8YDBI2r=^R@{N>CCK zL1&&JCvuhVeO@xut1LKJ8KsmNo3q_-&b`2N7`I%eEGdo1fnoOZ{e;A7T)KpE7Se(! zepM@p*}^ukheu;-7pyJB^a_gu4XNn0->ffucgzRP2A?+@U$&S7h2P~?Ri~jn&S@Ri z1;*sfQP+4NKx&5o<^p+Q;$9@i%hMy2zwVJ#nvh=u_7^_5;1-2%0=sQTrH08k7X^8K;o2Cx8nsP6I0-d;~?M%cVFG{M(z(OjB?6(D1!TOTx zf!#8D#PrUFCRqK=^zofD%N)gwR8(e&Xtx|{*yd74T119{r@6zijd%<}k!SUNIOe@U z+*O(%leZd&q@;G&0;|E`oW)c_S}FNDjpq zeZ|85MK}npigAjJZui>Gm5uq6Se~^R9#*IH{blKk5H;oy^>b;mQ7ki&3I8xIhr#*t z2~{^YY6C;p5B6)aVo5b?IP15H`VS+gOxiY^FNo;yN2N}Ds;Ax7^^=7=X&z_)b{hfi z9gy^MN`c)^FTilQ4dfpCtC16VEO~Wq9<1frTG{V!t(`BDS2^@xJP4C9ai;-pIS87dpMVy`fhz=ab#ma zAVWu9mS35f7nr)@7m}#Ec;VJjKU|h?8TkXS7PZUo@1sz$Ivhfm%<2waQ=3f z0(cW=&59CdpWxToH-|iKW>6#Z#sn!z#;9UxV8z6CDy()G?(_ z&?%n+sy{_X^$6^P1Z?O+!so=cMFeDTDQzSl-IbpxFvioRnW6B7)&Cv!B!XMdunn3s zb|m><1XXFMdD?#aNB=x7`Hmt>ntz#r%uLo$hGq;&b`N~{HseeHO-8#-}<8U0Z4`2nndGj?%sV51>T3pwZ z0xuM)q>udJ6;GjF5(;UHn1b8hB^qJ5<_Btkpcru!C>UG!cg%9$mCYh23PU4t0OqX=s0j%?QSDIx7@@$?h~%gv^w6NkCqFL4Mrd%ppXyVM70a^NEHQ#ll5fYgfV z)0O@pfe~o%9r3Gxf-11lG&Sg|T}gg7gHDxqy&}%@_74Wrq02vja|<~ZxsISTVPww6 zYak{E`6hPHGtfviu*!)#a0f?u5E6?^W1c@DvicaOE#9ov0QPtgVN+`=;nouPQl^6U@i4dRlM zW|xVXu4lVEwFi9Lx?@khpkSxYIekca)p#T%x$&v6UKpFo% z?pbi~b=uh@y-K{qd_$BPMxi zEnl^0JVpzH6=CL#n<2V&QwZ1X1`7*)p7X%_DZEWM(QqdPrI8e$&8V0a6bsKFA5 z-6-g|(v!gpxcWqtV(9Pa{!wH&cH3w^15&sxnWD0;`<9R57;Zfz}&|hoA;h zvA)9%yB!sYEU2CW`0WDlXvN^-2h3Cato9swSj?G~3GbZIoyEJMLW9r&$8TT(t-6n+OCJMx8Q?z&h>wl3?vd1c>F58=`^-h*FQb{ zNHKeo`R&wzw$osE+Y|Myij7&#-(V}`$!N)`*r=Kv`je<9_V zy8R!oU=N#C@BIb4;$yI)0RsODoBZE!0ReW!F^vZ)(LV&lRDT8hQM-e7xK04~r7|5LoA3Sd0$6VtzXLkDR8%WzAT zfviJ=_$Q+O@GXDajd_6{Z{%;k1QPe%-TyrB6v=M~_s8?V02@?wTv3MrS9uEfqhkvB z7;*80MRIGC{Gho#c3kI}9Rt>Cp0mfB3e7_nr&|olKiB?n+ray_Uk*}Zp|z=F0nH@C zzb#T7ly`7OgZvLPoNwqk+6|IniFH;j>R zkmVm|8ptPofhGNe!;+v-aU235Nn;No^p1f^{^P0-Y~J5&4LRo>VLN;xj)uDs-YY|DyT*v4yzGcM*sUxK;>euTs~xMx8MIC#sb0`2@dNRmk#&) z58{x-P|=^=)1xQ`yhG+^h6R`kfgH*gCQv;N>^Q(nmWOG8PQ;pM*dX`hNv9 z$LIm=|KEgyRFKN**babeHjS6T7Y$E;1>pbE1Lk4=E1{wyAO0ILNH_!{uK7FtjlX>N z*oMGK{c)th|F#M^Qmgs@^++bib^u4pk);4%{C_@@Kg-}C5z)Uv7s4`BjPU>(b5_sFcqc#dbAN@9-h8-Ki+V9 zSh#=}7bOt?Ou0N6Rr`1EZ7pSoeF$xsR0cdegL@QAPw2sX{fE{7r@S8@zTM|JE5KVB zi9jLf=+{_R)kx)mYZvg35CxbMNXYk~ZhgalI($wVJapo4^x-+{DHz(1?;9ET=H1V4 zjuZJ9@dw(LI}Bxt6t%3a2Myib-}YBD?>d(7g4Z+M#6L>zq_KTVmrx{jHQApT!B76o zLd)+@e@z4xH9^$Ltb=)gGf z0&yYUEZ*22UBq`$;VCwF@C94rl|WBcX7a}law&dM83D93mIzRZ6M|}!02%&s*e!b@ z@{H-8)L}pGcTe}IyU215YdtjZv3>E}{V(@H3pWUh)N-qPy4V}rr zN|O%TO8vark+>yvUV--1Rc(FO#WzRvV$XBou+{2rwm3~GDh$8zaC`lartrikHUlC# z&N9h!uB3FO<~r=GmSW8x!WXb@;q51-AzdY2^w9?Y_IJ1Xz}8n$-7f&5eNotxi*2s1 zP`inZZhlSFSftgjnf?(x{EU22unI`VSLi$kl9M(;J7K-h+InE5pvfew`Dug=$G=Mk z0bpDOBN%|^O^@0~lwBrzm5KHi#-*ag1dC>pr8+fz2_Zd1#ees~jgbNH2VG*kY`SJw z^FIpGF!LRAQ;B-;h zQUh2{55o36ANiQ>>~40oiwgcI|M4|#YH?0Zr>DP=HX$>~##Dm0+}fdd8auf_M=tqv z0tp-P9Mq&1tL%SOQ_pkx1>Z;E2MtrpSad$Yju-?J2lEI7BPFQwJeqmbaWri?_t)d} z0o0$LIn?jO5H-~2-2hQ_ob`F0IGt2-p!!KiZ}IxZ&sflNhXERjs43FSyXC!Q<*)Mk z!_x&U@-wW53i<>ll*EA!{B(8L z(Xb=H1BZ!yLjfD!zwYdCgcg$UYdq?YI~ma@e_G@_MpR9&N=H3)D5N+Mo^N{3M>{8l zsz`h2&Tb~+0D{E9^ynw>OP}irM9;&GLXdOMZg9zft6(qyAngUVs9_vVhpwkwv&#V8 zd0;579u|MK9wVT(-HopUiv56TOgK!wO$nfKRVuU*f}TGpejegB0qXOo92x(6l-W!w z@a0p<_&;y??O(ts^o2Tw&<3vRqBe3}+-hz##{D$v+@(ME!wW`s(KWB-rqnEBW2@fhjw&(dyE%Y_bcuNUw@xrGJG~wKRwz-dk@MZK{%+~Y{06)8Q9{pjItP2 zoULCr;lA<&q2HZ?VtYBICKuKrO9tn<^T+u?gHG+E#uZnVB%hBba~>^ASv|0PEMRo2 zg_+%?a5f+`Pu0$sCzx8`Ui9-4USl~OVKN>ZZ)(BC}YYp&V9w|tS?Df9K&hyLKXek_4=s(taLMzP{{YzO{P%9 zJ>`MqPDGk$RA332V4#|1dO&LdRk_TMjBL1X82Hwe`d#38^L4) zaEBl-&YrL}o70RiMKW4T2b@Hv?3Q|>xa8*Ev`{gcu*?XnH0UfTBTC;MlPBDk_~dVTIoarM`XXGd&uU zfW_j!K%+y2z8cZIsDO!K9BQ%_TL}KIV>4Vzvrz^6pJG>klmE ztX9pYisq=IWR^BrGd=3)C^P1`&{l@NbZV<*u^~0QZr|N#B7%MS;tQ^D1hf{b)?3*huAo`BBhJMX>s`@^3(C%de*_IjRGc6g?*r^!gqNl!;d$9VgenjszC zZ(($FM__;a2D~XKXS)sjcf`w36HHe~xG)7g{O)*D_a+@(aU{dey<@=h$p^PAyy)mo zf2RFA(g@471731?tDAcp!5qBd_dMD#oKB( zjs2i=sV7rd$f@rH(vw}`FWU2YG+sDBq>hQNcqlUjHQ3uhj)y7KCCttd3H<}@NSbj0#2xQN61f_!l4R>=@djfuw7O!!s(bp+$_+wX+~vD;P~0VDlj1) zS-b};F#R3cjnAj&f?LAec(4cHDxK9F0xmi-APJw0G+=o z#jDXL5{ys12<z@C@7%S=P;F4yl)7*5s)+n8Dt^Wscwbf?Q5 zB19(F-Xc{`|9Ra6wA#%^DO9?2zEse=F@h^KioVs_Y0Zw6ns*`{Np7C8OnFE}CCFmu z_8J|VLc#8~Z7uoDrTd)yxL|udluWRbem|yT4kx4)uHZ90?oQfic>mC*(nD0>nvZgU zR_5G%GwX_Uul1O2ir-|sRhq(#NZk4d~4lyAq&bZpf@`l3^{Hx zf?y2PBe6KH(3@=UW8j9zG4F#NVMxgZl_2yH7x_f`-3T-E*|D1Wc~OG)MR*N-0cvO% z{ncBJV2T9vetMeAcYd%cVEuxlV#pGBn_FAZBy2*)f3YtbA}cksjMsRfS+~&rD z7P&Cl3p2^lTiW{;MRkvUu{6)mX2+D1hL~Pze|!P>%Yi8Q_|DY zLh3273Lue%TGGtCHy*TaFR%ygRbfMht4E&;b4odVZ)xl989JWo+1#$3FtnpGyt?%i zzFzaRzZYZX*fPsiq6KA^+X&!Ely~WOUAnbh(F(nt@cVTyw7nh?u1k zB}#9@qn$Blt)_MdYP6hDjduW2iUx2@S`sp5f1lr3a!u$Fhxi!bNdzmKrQUnpWErK+ z@fi4giX37|lUcSQ<)mQTkUW!}ah1>UD=;QyIhVGP{lTCO6*zLaFCtQTXlrETUYqiI zaD==TZ<1$BiX2rnqY>OA0Zi@IF#eR%}kHZ<4HQ&-q$}J8o7&E}hi9 zpD2*y5&h6&rlrkrs?hSKl8)6{@$Aan=;+CbRCr@#un4{qKCj|7dzK@i+`&OF?co;= zo*?5gWKjFJV)aHY_+TV*^^LsGbc$!QVDT$#qzZO2BMiZK!L<$6k@+l0Hss2A6_xq- z3qeaJ?9Qcz@=CL4g!{sCo*XQHp6pp?iwfD6+i`bH^vl1Skz2)5N@Gs-Z^QGPrJfZG z?zJL25?hyy0Jb)+C4Saa>YN}UOI|)2iB#sV^i&#g^T>ZkjJA`@n(vo(#m6t<lB>2cAm(R%4TBP>PxUeC7ZjEvUTeQW0XpErsT=tOz_;K11WgI=0(0O zx>Rnjxyr70I6Hsid(H6f{PrCs=^XX2zKL|uM5if)=bVHCIvj85h>TB~&)db5>?&0W z*Ht}=uoYFc`^~JDiX(qBA3rT3PyKfPyo~ek+s<7D-yQol?u8tc-KqV(BDJlW=tT!O z3tr0Ei|Ws|Fu6tyQ57?FH%(~Q_1Iju>R(N(-K#7yg{Z>CkULTAW)2%Ij;0-Pj6$%u zt7W7*UL7rlvVFG*6{yo+mSNMyylJnsSgVZ^3TwlH*A^E??x^gpYBLLnT4U|js@IAZ zhW3-0n3BO5n=QEjeN*$vhZx-TwJsxVEv=q{fN6@tiBp_7@nrmD3(6M@08KM30NL1> zt~F2*R7(tK()}LGI8< zzQ9WMWjv%Ir4L!z{jrgGBf{nb5_ zU6bPydT{pbqvx-*9X$bAEluCmcM(EuiDjV z`&{1p;8M3_@)G;ol{0k4)x?s!`l!8k&PEHPVRPWhDP?osx!IeEtm)` zP3z&jHl;|=O9ut79g6WJ{ya%$wBe-Z&}LHE+-_uV?Fy-6XxoNVeSaQP#OUuZ>(34u zYiZM{AtqRNUjDqdUqEP*@c$Uk=YTAvtZ!t625nR4`pYrDZ)AjtZBZ+6qDu3`0KYd5 z5OO4^=k`ka{tk5j2J0<^jD300ro27dXFojeON}v1iP-Nd!3I5M;r(NvZUtFz#qnS2vz8ob}!XM!Rr1U|P`)qVw^U#);ePWZQS!kVHt z7CxwK=T8FBRgwBlenlpj>=tL?|JDYXRm`&Weyk2o?Q0C0P|%EX+Zjyz4k`L5RQbX4;NXF0(1RmsboThXo_ z^VU1>OHbINP;6~-6DiWJJ^7I20qL@p8yvG;aJB6kgnQrupL4P`Tx-CgVCb4p!*mng zv|_rWK)n%3*l~-UOd|I{PB?tqcXmt##W)u3b^#o$$c+JmRdc!c-5E!n~-I&zOr z2fC1kLcTQcWC6CQUG31uaa>W>E80ke5m@zj{X4TAlZT~u zmi%FIu0}~lXm+>VG2Dfq)v`F(_}M{8*jW4&rbo{lJKK=Jt|INT)!ukP(!SvYV6QR; zrF%^Z98??J!}E!XL2Cjums{FsQ(Cv4`Uc|(@^(#EKC!*p_i|&oV%&mvcQOp40Ytw_ zfY?dfqE_74ktb6L)+MtUVcA^;!d{m<2!)11W}iJRc96+34l8d}_N&~)Q1MEk%Q@m+ zbPLp}QYt~gzF=$Hj8G`FI?1#1V5$Rm>y=r4sEs_x{9@HQG;pcSG0mSss#W*gB)z^F zneD#tu%bDC=g4T1t6nTP9f+l!ra`m$ajJ10tDGByuiF{yZVHA>4-65;z59K19OF^i zZ;kXF+q8Z{w{;0NSQ`aC>Lg?^c}H}-aNHs&L16*qL45r&{yK;)m&ggG+CcBGllOuVTUELPYf(*JvO)JK z6L}Z*kNM0{$Q#|2Q7ZGjFwas4jDioM%H66;xVQBz(&ayRkr(x^%Qu#yBImSn6mg-RCC-^L%C`nDfbtdOI`;% zCsQ?0J3N`==;7sSf^OWM+$X$RYTR6@mGi=KNMfHc@5>-oN6@NpEwn65EP6m&tg5z; z>#a&WhvL{QgUxVviSB^pLU3R_M{EeoIM_l<1jQDhe9iQeh_4vZT6w4BF27?>;eGj1 zL2LQc$MOND{f+t!yUF$gZV~C=qp>kY=quF&749+Df>ZB){G^Uoex|tvAUGAw5IrHp zR(D@EJ_^dRlVm){emwJ~Pyei(fJ3EMAO!j_X+V)1me~Exga`%$JHG+Ck0CR~z&xcW! zo;G!tZYh-xPPa=GI(J#}e>J57LH?eXzf;vG!UH;*W3eYdfQXRIubUKpe!V-_fQON% z?hb-jyS-Y36N{eN`0`?5d+HA8++zI&M+|+O+1i(6JxKK|1z|R9cf9+dRvM50!>qxI zdHKbSgkB7`S#XS5(%vXu-3H9TZg_b8Mb1wLF87ROB-juKs ztBFU3LjDRkkD>Tp2)v``l|295lu@G}lVztak3qp60ZS zZ#|OY(_c zgsB!vV)@J~y+pnxqpu8E^b@lJsd_h!&PMGDuv&tSbUBp>ty)1HvTCx4n4SVz6kCgI zgPldWh4C%Q=eQicFE>;l;$={DLJc)~s`1bDJYPN2h$Kb|n zfB79zODXH)a|NR)s#5&eZls=}e@z}#>2_*q#d9F{-Ic`_lXXP{NTe!U*<3^oPdo;r zfKciRSX?peoWiI)n;5KoN?)+Ju|LWx$HyvkDeBv);5uW@zPy>Eo*%dnOhq=hI$8L9 zBYaqCeHud!%&WW3KUQK&`Kpr$vXZOOdTV_JpU!Pr=G4Av#@9;mY#)51$+XaIHq%oe zye)1s7hi5>{Seh+4Aj_tCdW;Z?a_T)4Y(cq_0iERNR6Iz_jJ>F7}jR*+$JSi{p{ZT z$bwGzq+bALHcsU@mrqxvSZ!IvpxE&Gi||}9d~=O?nII!ij#Ys#%nt>vKj+uoTN@aT zulz=;0B1qgNjP8Y1S@>4?X(wdwgDjCs=T1tKz{2$P@5d7F!=U%j zJm=(gCni$OUMq&3g_Q0CIki~PjpX@|r{NaYJxI{1#b{yCs#L?RI%{dMfo-2F^r@{& zm|*%=juyA6y5QVfck(_y{-9d#+}kn5&w^#Gf*$G=M9WDSQ5uWR1O=?r z0Qt9;43bppc&AF=G#L-x85m2JNeo^e2rReisqh%GWV_r}5?6}x&XW#aiIZi)f0w_Eda$!NPtKkKnW@&X;w8Zkv zO51QhwrboWFb7f-JyV367f@*u>^Li$(}*+~F(!4{*tY<-?5^Ng7SyoYXW?|=@)s<- z$`~(nKK1f>vVi{RNb|Wl#GGmKyG=v4M&8FlnAc&RATA5WP@!+4K2q0ws?#DjXZ4uxewGW z*}|)E+%`nc2-YV7Ele9=i;SjQKPLkbi{(jQk1q)SnitzkBpl_)GG|~Dd8ysDm0-;VGZ_NF5qka7QjlXo5n5XUK{nycUB!*`-d^T6m3x4?yw29+rt90rz`Hb z(kurgT=ysD>lQyTq%LVG(2(E+ZO?2%Q|Hp(?|4o zrBcJ##M)Ku$1%jSLxhG%d}LybT@@5t9#m||Z@j?_IIp3NoC3&tV{xTzJ!oS@Z(XSr ztg*9i`Yb#gx)Zg(Z@}k;CMRl*UxwkSJCUU_SygP7g^>#!t8OvzkmQOPuL-5dQ|D`p zmoJ*N5u~!3y{_;=MwTWJ-;h^67}{2CB|K2}+nVy6*{SIx?1;pHg^x3GcIDjR>6^3S z)6jwS%x>08Z^fAbjYWHH4zqpsc0xszClClh)fomMF?XOrc+u%~BtbC5^a47?Nk?}K;n3}aY1rLWQ1zdtmrT@m%08ilZl39;vz)Yo5 zdZH(!XdCc5Z6(&%^cQwEQmK+2ej`La+X2De>Gtrz&2BTMvbpipAgT>PZo+I%ULlH9 zyZ>TOv_B|_Dkm|5HswkYp30}+%{D_Xzqz7vcRA-Sv-8?h+bY|5ylYmSH`U!WxsZ4k zQYBGGi5Ih7sd=J}F5PTYesS358j_I5T$oD)JknM2z2AgQdg3mkHz0j_c}5^DrP_Hu z8#L-q)n)jQa(bZ1nnI|k8*<+pa^r|PXvvuLeJ-otuZ(+9t&y;lx1e&4CvYpy#@aX4 zT3kDhthLq5v%jIB$#n%*D=Df?*3>{gXkjvtFWnr`a+dB7&IaGHDH-io4l%`UZ+>?U z6LT7vYjQ44PgTM}pQh~!h`#)|Rl6l>y`GmwuYU}o<4)~D-;AEPx4nHTEjW_9AT(gW zXRoo(ahtE!b@lm1mU}cF2p9FMm_r%oWg5V310h;NT#>hc7)!PdM z%ly9+>4)4mV$6JTl?yAS1ot8P&k+!p2Mv^h1aGV+{m}i@`g_kzUE%JoT9<(Sov_QdE+0RN?phIDu>)f}DA=zg)n@X*3FZhq?*52gkV?p4Pp?9-| z%W{z+1$zOd`|IBlX`MJ)<6Q>@UF)xKbZD(vn3{N6rw<)PgLGVE7R3cuMb}j%oJS0m z=wC)fDsO);9S+W0Fb`ZJPt5T{i@j8)ZC%18pPzX@9MEHuf?)v5dS0mw*gucR zQPsKWujCX zZHn9?hRpHHZ0)k+lB+heY+%#5B}3FKSKQWTGlXx%ENTDCUnMXPe0S+4tYZ)N*gn(} zeddf&k~@0FXHw3WOnpj;m06HgV>)8j8ZRsBo!D!vzSUm2Fej7M$7RI%wq}T3cHqI? zth5BaX^?4R(Gx~DlBqFVQY{J0XIp-40Bl2gyN(;~(3q8_98>!JCBsUk?hK^g(!_s} zRKw$6nJyCUR~fvNe;T0?F&nFB1vtJ8uFf&t{9b0M;3{R<54eE>gkdiX^7%NuHu}8P zYVFS(5sI~=BYRfWZ*K_?=vD14E-1HSyhiXvbwVCP?M?9z0QZJ^%{=0di|~2Sl+5Z4o4AQ>o0;W~q;`aQMBq-JBVRIUzOD3A z#Oj9%uKkzWI6=xz%0=GeunN?k#mea`3d708b92=N)svV08r=LGrQ)6FIiLz-QSp5j z{Pd*kbi0W^NXhs(a9iebuL2c+4G3ZjS5gt_-Pq{; z?murVZ6@G&jN}8q;)7InR)ds`EylIB@I@WSlRICd_|k(7n)cV5bYVYiam3{m3+EXO zik601ux|bJH1u2{?eoD)GAh24?S|-0pqvBRQ%eV5l2ONV$+X+OSQgG3s^~M~hK5db zx`ycW0Km9f=p$0TXq%yhOT15nfey{T$Gw0|GHxrW)j!B0(j{er7gqi}^EnRaKF}`0 zwCEXM1Nb>7C@A&U7qQi?pu?*~Iz~XzTepFQZ=*;v|62DxJ7NgRbVl47nDYeik<4%p z^oifbS$98bG0~=ROo1_y{5QI3xSY!*>I;7@C%UfFRM$eIO>dyj6!+i$uMZYq!3!J) zMWj%rEj>b}=qg$~!A6<#vMz?tq>n96vWgAS%1ztTh`kybrQ5jKSJtDV`NFuO6EIi) zG@sz}3F+kK?Bp>XxJF5z|8YC7pv-5gsIM=*&~TcKlCp_$PA=8J1*)8p>14+|xmb9P zR4auErA;ZajpjOgA~(UwJN7kA=9WTYPxoSIglEvVhe%r;hzMJQfWYvIyS@8)fx6nH z*C(1~CCpJ`n6yt)COx_I&7q`7%aOszHY4x!bHlzmeY+dTR4}II*+8bVOC0w**;`Lb zZT{e(e-YYEutwrj|HxxCtG$l9Z+o-#cDPfEDWPITf&aP4{+knqZmzrWRwsJw`qhk? zEKbT=h%DUS#9m(tu!q|#l=IYNP8SASHfuKBkny^B!&7v1dWd#hlt4y^j98Fvz147Q z2GOB_<92N2DOa+ zPL~=dR`zMSEV!UHuC_-dn!b|w@GN`DGrM>#ag!@^Nt`XOOMB`t@kV;N4}kWD7fVwW zu|^T_r~)_idiZ26J6?kvoH}zi05k5+j9;p*VJEOv6Qeb}YLhPDOU`})6%J`zCK_=+ zaCPsiAmgc_-+8+5Z@C*Hb@Ab0U8nIWTk= zD&jYL)bX!J57c9+?#RV>pD#>&!$3o@0P2b5%|aBksuQ3VGkV7I-wx*7V&}XVImu*- zpdq7ql8y7?-Jci%kZ7B82-6!e#*@82;KT{ci;DgQOT`S3t?D1+e!(gH(EE=_0n+eY z0WkUv1+Am;8nF34_&dN-CXtS_hKR5D32fQ~d)=#Ldn)X|e+}JxpZS!Axco~f(+ShR zy!D;xC#`-zJsoIVfF|l+0#GvL%XB8h7*RI$;#@!QlK|Fn>q&QR-s8?#;t5+P?L*k{ ziJ_>!d^`{ENO-Opte!il5iYCQ!L~(wg$MC?-5PF+%5!6A=j#Q7`Y4xdUjkh`?ohOQ z5?EV;+^waL^3E2pM+*;3ErYd9*9_PjR+4n>J6o3`75MsQ6`8Y!)}q*0eL&s!^{-u( zvaOHvLU#)2Kqe!|khJ0AvB2`!JBDnQ!%c}LVC@whN$nbKD!t{TQ1tZ=zi$qKGWjRK zu(dzMZ;hcyJgNiUD5|{$Z_;~GsaW0wyo>KyADQ{=0Dp!;hT9VoWHV*l)yu?bMrQYk zpq2-#{H9A+83a3hxf#Q0?c!c0B5sg zN;@0E6TS3nW3mmSw{^QD40OXHR#FZ7;bSo|0=f44p_Xj3C-5`;;MX7DTa9Z*Bfw`-$MPskf>h7{LXR{#aMu zXrzcZOM^9Y^|*OFB#Q=Xw&s-OzpPyG6{0p3n!oSAhU%?dRX+V<3R+>&*M9R7z!O%A zYNrh8=VX1*1vbJ7-4fj05?!yP&$c;k4yoxF{arEJODPG7;KKLPyF3nZjl>))&$dr|D)87BTb9ob<{Y#R2RLc*sALxZnkZmtW@b4^)GFWMRU`?IG4fF57WIWbpr~- zic4DPT_6$z5!MX40yt|Hab~@N7=J(rwxNbjI3QdDbkTaaQo#K)OJfKEP&!Lt7vakwuCV2;bIh?u9LH#tG8Y36DopfZ@r%R>o{B_CQk z;Xif0ayeG`lk%&=YB4rkwZMwRC)_Y0$4c3 z)db6I0In)#P=dVywiiM{OBL9T>7rAYz8|I)xM_eP4mJLDPp%9~%4GQcxu~C-dfBX9 zyVWY!&e7Qah6S-UZ_Xl>uHmTYcaTgx&6N|7A2ScgBqD)l{{^t`_mQ_q1` z3x65=Ma;YDI8#Vh3Ulhid?hWk+1zvWn=8)VUqTMV_YO_+%8-mG*ZiT*|MENwZnIdp z_wsN~qaPan1>76RlDec%qhoqNhq7OE_}`vuh^4&&=UyG?U0_IG>**-s-I~+R!K4lt z@V3yZJk!z61F=oMFzh0J`3_CI=S+A;xZWdlXzYJK1IBkvefsFfm@J|&G!j*~DJ4IK zJ~$+Kkpr_@UZJr&Epvm;+`D_IQZt}ZJ)jcjKPtr^iWmL!SR{K9|06P_nvboT(m5Ia zl$VxIPQH_xP;k6HAs{4MA)sow6u};}EzBTOroj(i?jqJh{a@Pj$P;ScvHew~z{BwrM`i1J+)N$RZ+|N9`1p430ko1ws; z%(uLC!rICIx9 zHk3~fImi*vPI_U4>rXQL6&?p`UXIaAr$;|8uChWZ)fViy+B&#T9el2#dMUI!rXdm^bI2jC9W>c2_q|qQf7nYK8 z6~Cv554HG0l!nFo()=+zb&kI>V}tI ztbL4Qz;CAPtyaz?qBUbBk@7WliB@nOC{>nwv8@}j^5i)ok3Np+ug;u{(og3Oz2h=# zb=PM)sT}oG$Kl`eeUsLWr}A4b{*ThmQHs6SS`{$fJ=y;R3ubL4U{BzTi;p{z21SN# z1y?gfi{D8#PW|+_zW`GJfQ_a=3Kwg$56BeIF8_dBSELqvp1@NMfDe>|p!Ije1Co$7 zT=C5b=o~{KMcLvhA5sp*uOTwW2ben@0FE=?zjGH>%Yqnj1$KKn{;%Dzl!D6PAo{uY z57#vgT=t0T0h=9ft$`^YW$6cQykk|-M|%z_JE`rjj@!mBHAc?u9C~g-y#J4VEVDMx zUA5`Ez|cTsx|nqkDu2vEB{79`oHRi*&O8*3rNue#4+m^h-kDL-7SiEta+8sKvy^tV z{?9i?f@M-ofkLsqh4hXem=bD%<$wZqM}mo=Ku#2hH41TH99Aw{DxEQl1N8Edl78VK zDiaXq`ZTRIag_4Bz9MrhG1*pQ!eRik>~Ss9@Z>?bI`NifQ734kh>uKBojl4^{!WiY zGKiseUyH`TKv8ZzeeWjiEU+@J(3s|_*@FJW5~9{bqP#1{V}xq0{>w7u`YVQ+rO#I| zVI1_L@@?%FR_Y9819`NCSmX~PW|2t}`^TO{BQ$}c;b^%E{NgfeXVM6&*RPcswCcq)`)C>X5vOT?n}K(a1FU;p|Kcxd zNL#Jshxm^kQL$z%P(!P4%RMLbixiAfP%#Imau8#orHo`jvxJ0dPn2oWL@uyOg{Rdq zzJt05i7bA4tUHA*bt%c^eOsj^w##sG^Zb32cI?-TQlXHzZ5i+4eOE82 zI$)qq?TWftKucdv_q6$e``vT_7}Kv+Qoc<}UNgD_%VO!A_O`=f<`uc@M_fOM(Y=aq zs5);;&c7U58=k=mR;8n zE>ZH9Z{Kw0fHAzHmCd zvTw^Ao+48dX>iOhTLKq_p+#xu?$n}j^7g}r4--6U?fO_O0zw7jUj7kuLQxo>O>~KSn_8W0!%#W!iOWl`Sy(OrLaR-Hp4)wyJR!`r zWUw&9KN>A-$p?WCeCSoyotB-FMx_wuM6st}GXsCdROL&6AVA^p=;I@ObTF?b+t&fS3JlOjI~M%ZCBM4;Bvb}C zn4hLK_u=ct(!c0x{~0NZr#15YSvlXn0cy&_RVO#OZLdhRdVP80oEaeloh%nGJ0O)8 z{^Evx_`6*ZF^5SgJ@CSXtnK*Ai%kiarIqs^`3~y=K*C&Ltt{zXV6FSVN9xlndAT2$ z1gI2N6zlWM({2ps5noV>8yIT&pHv(~4)I8h(#OqC+~{r9X0Q`WMGc>?Jk~pRB#FIxHUnCj-0M za_E4LBHs)BQ&9?NDb|CX%sMUNY>o!J4irSCRS5mm>D-(z8*e&_6#VGWGJs3Gl;>hi zJy|t9tLx3LX8p5@JD$&MoF$n9PHlZbD4YDp)1t0$+g_8mU$bi1>{dS<8%?j&sCvp= zpbS`|0BF$Cd=e1s>ZEriFdI{J2pN5+@N8-6rigz46c}+(4W?E9lA>IVes%+YcI@x7Z=t7tbl`!) zAjkhlcmKb~A-+ld+JQghr8{f?>g)prqj%oFx{<$h_R~gxboRfYxFs6?U){)`EzVi6 z%Jshg*Pt3=h`_HcOIiQ{tQY;S&4EVA%@|ta_>chpvv&$q6>2|}0+9aU*}tzM{@V&$ zk52yYgAgBn|FJ5-5}<#Z^c!k^KRQ7ZUON-CQ?p!C}^ z<%tD>NTaP#GbH;NaauxZLoq;ZyvtXr9(k08@X<(=?EM+`+r{P08@}4#HJ5b9yT06TG;zuyZdmbW{_a1 zrbcTe0o(HNQiT5R6StwO%eL*=85t+l2^V>7WdI2PAF^2lUIwVEXZ_DhH2p3c&;@U% zH4Xeh-tFNTerP5(R$cy%&{w60nIVZCiv=1k0sl<;#cPlxd{{NH4p5T**HfGY?{E2o zKzU$=kkHV+!>-X`ubU;8xV={gYZbe**?*oytsInN-THEZ^zkzp&hEClH-oEoXhI&pVTj2|rr`Nn zY&(})eG<){-%w)Qz_uonaSB6ATJK$QWQ)57yuNa29)5k9bxV$>ThRbSxn%q9rYAMR zhI3!&y(Mzx&GJLF{Eswa-=v4E0)JppSD{I@6IpG^;B{21TByyeQ6TA6hlNTT0^ZaV zfWFe!nhff%+f~uls@wCr{cL%LNkzxn%yIdKK|8;2H%{g3{x&g2Yi&sP1h!~zd=B^p zsQOPC=eJYLe6on@>US>h#B_f5<`xk`U~+TIa-F~iQKhnK`R+71NDQLhbl61G#_0$T%cOU;B^zKi{ literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Perpendicular.png b/src/SketchPlugin/doc/images/Perpendicular.png new file mode 100644 index 0000000000000000000000000000000000000000..8e119691cf07d67d634048c6f58e1b58cd701709 GIT binary patch literal 15839 zcmb8WbzIYL7dO5+b$*S3ff6H36jV?o1`MVkC)WcWS8qBS?CygqEwwVl4UR`Q`2$+6Y`rhN3TqP;zI@;5P<$H({5-8lh%kUx6jHk7V& z344_O74zQ5{#cKwfmhXUUX|RVtN+m0g;m=4&;`go^?16j;@fg9QA-C_wqA+O?$O-rmqB^=6I%SofmL^|HAk)2qb}2nNF3Z zuxl^xAn>8$cMm#>1y=aNvL|L~k4Ar}g32~ZMhC!x7EqKLk?MIVzB$Ij?g#T$)JKn8 ziZPcV`od_ht-cC|#WW@zHwf2{w#gEdC!)*u%ONgG4HYH?9}`GMyv|8w(cxk`;|F6= zeV{35_rim>@xB$_=yP;f;NAvnit*$S{)`wejtQ%z-uzL5!@BZ}AWElcd{Y{M5x(Ii zf$)Go{UNzWp@qHmNA`~pk=n;uoukXRO#R`o1^5A@^76Y3{`7(L{$I3n6=gCdiO=O> zRqkp|!D(S)3WGm=F@0JCDrCEM{oRCpf*CHkdr%eo&T6rB}0I z+^FICu~EO32$^ZaZ?!}ke27z%Rqta%5j#3BK91@}GofT{~G#16#2iRZI%7w@;Td&0qhD;b}*f2!t!D#?vz+vpPN>tSIGnp~D_ zj*}U&Sc$V&6UxEhzoNyqj?RKN|E?p(T}w)#28(WW=g zCZ4!zIu*Bd<7m$L?DqJv&;#fy1^>OJqRU*Ogkjh}9+AS%$_>dUDG7wd|06XGlG ze4!ZBK^;B49-}u4aCqbFVF8);zQ=<0q*-HB#K~jbVZ3it?)7%5#POKaeVQv&w1rnl z{6q~LJCtcmQ&Fmc!-Vmfg-a>(j6fE2=oaJPk*+IIoT1@LYbl2qd#twx6=S3B=Xadt zNxp?4k3bVCoRli)h0u$WGGinzaibNp?(BuO)QyKj70+wKsyihJ*h8=P*xCgrZ%K0% zwlMMNPaom;5*R-5zIe$$Q=-;Ikz7~N<1&$6E`77^FLSK>TLzvugL*m!C6`p`>7#Q| z0{I5m+AWpo5r)f_t_ImknRSt;;R%Cw5wztR-l8suic^f-EjO+Y<8umAq^gT4srjX9 z|2)omRPN2AUvuZh(}UrK3bp6qpUrz6DWqEU99cUugVzW?U`;`4+KYc-=vO9BwuX(> zTEB)%?Z7Fm@B+(O-&5rpt)peqspfo-dy+GO;$1K_$-rgH{v*I*@^W%xAiZRS&*?7Q&KR0 zzM$Ot$`BTGP!+nbBWbOoQz)F_x(UgSF_Y-^uA8IYLJpg#=2LtI8o(7MLt3uQd}rLY z61ZD*Wh|W3T3w2Sk5qhX*cE3wH zJ9%E4_=__0U)f)ouPqnnxUTnyiLP2VyGN#M4Gco-y_ZGZS+5fFfEzi9y;_BHakdO3 zyZtI(Ys#k&*Y!3{!edz@Ex-NK*OHi@XWePPxq?$J%pBp7yQg~ArjzVdFF!VAd{aN< z%j3wJ+YUHxD4k{J#A;=p>s)ezV=*+Mm(_AJ9xu=MMf-@XmWysu zYlOGZ)ECuzTIH++m&Hy^N1B{+e$$Qyt=a)lDOg?Rmx`A&3eQ1eW1d23T1}o9tP2dI z9OkM@#3gbnMj@vqbH?jM3=rjQqBlV2)X zz1JSoMnDpbPDH@=BV6Lf3(=2H!(gl~_soa8Dmr^Zc;R;jgO4u-T~TZ&GGQOAhKNHA zFtPd-&?ud>MFzqAuUYM8E2E0(1+QH{FBZBa_ixOEmgQKpa$m3sPU#||DeIH2LSIUd zzSXlTwJYjH48@}x(=O9a)996<`3o{}geR}Uv0*m$ANoJ#Dg+bjmXW-@nzdY+m7h0c z1@D@3tuE&vw&((cXedH@_>Jb@vq;HEU;^QCwH5wKpk|$MzMm-~37H z&a39W3(0kd%w}JuL3d0e10gMvdyZHv`!JaoI(1cvRwyqxVDhJYMCZQ!Iy}8nBL%D8 z*E)n7v+{P_G7Wj9DMJ~-tp}1Ig>HPf_u35gP{{2!y|uke2s1-}Az~G*(MN28li@^P=O7DA-)oa*-g` z4WE5lgDRQzOAbR{|CKAVCh`uE_w&0?d*N1NsGX?BW?MwYWd4xf>cpWm+p>|U-Y%}( zB%@a=ZVtZ^uYaj(Z;P5P*Ulbfwd;A}{`sdv*w&d1)w&oyvZE&XEhDerHQdnkzW1jy zn|k$v!_4#U_R;wVy9k3)V7KTjpk)+TeR+lpM)NJ6=@6=!|cf6}-K z*w3U)cBB7+rWH>SHOC3|zg|bEoXJ_a02y`&?wzSBFgd?>e#&Yw&2`~y<=$g^CZKZ{ zr(7Q2n$qOKj_Jn1<9X6VMlP;}z9Csh!aK_E53K8V@|6$D1;c8D_tm9cmN!5{5^xCL zeb1|)tT&8@2v*(%nE7OfN)(>w`Ssv>m^X?PGS(c=r6Dj{rLQ^d;Ou=4W^gabVHgie z{*BR>jkqHlq1Fvci$wdi-X@|&!DrXz8WrvFrJ9-Mx}p|_$TzkKfu*Kb45Y242jx`* zsBuKd>SyB(8_P;i#eMUAL+V!C8wt;L$BH- zH8;+7va7kO_~t;3V&e6C`bBF~`Q6!O$+oOHGrc3Eg4qTS1*0vZAdRoO+Ei2Qo5T5= ztK-8(-U7c4EXdq4NJ{AwvRs#!WIjLXM$xwzEVDnLnq3nnD!Q4rKhpI9u1(E=*+z+| zW>RduSd}8&%2nw6b_gHsv*|k7CF!=5?_RIEk&9*nIahiag#UT-dzDBl>(vCF%qEdn zdq()Hvr#OfCVx8|-#UQtbWh2Qxy*Aa|l-B>1$szzsZr@KxRbsWC!93h2;;$!1N{amXS>h>guq3VL4>uSOUrvTBUZ7elrX(+ zqz|>|T|OjImv#;gF--D>nV#EA1^zNA%;GVM7v6#%xxONAt$&~72fJFd{42|(Jynfj zIw%Ae5gET?U=m9TgppFVnzzuuJYK&EuI(={Ya`qE2VG`Gr_H|%CZSQfO-ChJP_B(@ zb;}x`tx85$EP(GaOw0qn`Ukl_-u9gvIWb-;XZ?G$PwI4+ja++b@-b~%Us^W#fyPro zhgtHa%;kh8KQD#y^;)%J{p-*<^Anln?L^K;=MD-eVv{sFtIuyb<@6cbMp~|pW#kV* z-ro$UF+dCuQZnx0*BhVhb9=W~Y$(6}2#>Nlr)1AnFf-yWC*rWS z=+^~oOY2XRf8!Khc%AdwaFevpJESG()b}(p>N`2yIX2<|g>i`pJ$p#%Qj!I&!1HFK zr2%VF>j;t{Las^q?DMf?r8dLtYPrQNyz!QPXWGi!AqYf<4p+i{GK1qZ#znhi33nHn15(qFyn)kTX z_ajPzTU>I&S@PAX*X>E6Ts6zifrFx%=9=2x+8bqSMJ(vDUS~>EwwbnZ<1OWGTc&f9 zZuiDmaxVsVKgcqED=-`YWe>O9x)h(#KcmvmW*L{%^4?^T)wVB>5f1EfBu$60;YqWW+I;HK?4Hwv>#E0;t?T2BnK?aLhvcI850 zCe}+VjkX?wmQx+3eX?ethKf*!1(amGk01}{D`)w5w`TwTeowH8!(&Q(F1 zxUo7~cQhO*MWv~iJTAIoTOcO~@M3;Z4{%=7(6&h0bp;4Z6#wrkoE)NE_e@OgTH z2ce@f3*(Uw;$?d?xi&h}ixXVR-ixl4at&GcyOhuySWbl^b?V*bi?>>8+qFmDn7I9f3YCPgRJlsV1fdS&g;U>ftB!>iz2u z=T~bM7yKMQ3?Bdqs~zd)&|hT2$Y&~m8wwV4cNMT4K#Uz^7LpaT|1>n;ELThlT?1{J z$LYxXsfj?WgK}Eo)bhUA_V)ZCK}DtVOQ#3kojO@TE@-DY< zESC2KDVtIOC7(NBS;3?GZSO{$oP-&+n#tDbec;qp6y{h-AC1Tf8H8bfQDILUm@dy% zY2Zp248l*JddI})6~sE`wi4{NvQqDMb>zt<7HaQgbzLUaDu&NS0Tw79D|H+lZQdKF zqVeP>YNP_2r6f`Bw$>;wEm`Z*%%Z$6HmjP5-WqQ2=g{0*U*(T@V*cFfYEky)0x`8H zSL}@}&7zxa#Nn$>gOQ6~pF3q5`OEj0lado)siM z9Ovn!6bTnl)Wf=*)tMPU)1W_N9lvYZ)fP==zvJ)gaNFASQPmV&-|X_|SxyIUHcbx``zB)iZTT!Vd%x2JpRxmkA+}h` z$hJNObe>C(V)k(iy;g9kd(TdgWhDmOsnz}!*pa+hpH}Di3>3Jy_03)9?Cl-G zpXXE#MY4tT9}mzAgorOVJp)llK@HUK)Q5|@Z30%ChZ}BS;9&_DDVz>R!K$kE6CW(J zg!0y>YHdu+4a*hHRbei5Ih9&rs$5WgTcfJ2;#{dJa*RotS(w@gb|q5h`S zcj>5HP2<9?=lf@rd z?R{Lj3$^^x=d_TZ&6{sXb~+8Gz94?55uGTY7*|eyWA2x_J&a#0W;&BL(OS;DG~&%% zm_PNP<G0;ZCRg1>JYmqLUM29 z%R*jGP?9qvFbMpaujNR#$$mo|{_6{fd@3`mgKj#{>+70;tY#B?qz+uXa%GaoIP1n5 zB`t5EiK$E5%_*(S4xv;vN6hJ4{c+qbdu*Y=E8VU>6vjd?JT~7fn;b{WCS}48e7<DL=4CO%}u^4bzJH(@!8}+&?4J8|8kF17Czrx4zp1rr_m78~IBGaQ$rs&76z@~o zTC|YN9&Kqqocs(YEa|qj<_^ldqJMjJlCZPG&Dgnoo;ub3FX=BJvy(wr3^W{u>57+c zYsr_)e>$9`l@J=fs$dQroUmBi5K{`^ZG@T$77Ra)HW-hnYn3yTCkw- zZDGv^w@%I|mu>}AM4Zl4^U+3hv~`B6(d~-^o168hrP4<}XugH%E$KtS%EbL4#jHC- zH42laRromVwe(_T{w=N1{YMxDwzl?A7M=q1R^C}yf`upIa-^q!vWGi&y_4J=0yE~y zRPT8(k#+%pp&rdG48L^?GTD$1*0kM}b91bD!p>*Z9Boo8Phh2YZKRVqcQ66jkS#UvnB$W8rGkcD!jApN2q&a9il+yYJtMYo2DbE}Hg! zDksaSo|FS!{-FXdVoZPBK#_KLPT#W9RQT@b$#!?OtcG3 zYA3oPs9?_10;CMo_5QWKr5M%}b$;WOId*kxRt~eGX8c`TQ_wQbvu2u@4FK%v-Oqp zYyg^@9BccJE4ghI2QDma#a>m{^I8Q}?C^W6o7{cd0J~Da7g()ou7P3S!g?%Yv4HY; zQ>eOFgiXD*|G2enS}5RnH=IdrnRSBIi7K7%GP7AXHm13ZJ1i}dmBp@p!Q^fB#uNC~ z$jQZ%O}B5Au$y%uS9+)G)FPYZ{E1{;we+xX9x?re%}$THbwAhy7R;Y>AygQypc>hWhLKRYx{81-@Irg_s7VQQ<~QDV4u1jkW7o1 ziLHr(Os;~w&GhoCg+KQ%FyQAly3LbSl7kB70qqWmOu1Sp8NwQ6O`7|TP2@FqWrr_| z77LF5Lpp5eYmz8EHQHu26p)d#b@88pHuuP);jip0ZVtD{)ZIqcYnD0ldX`EzHykfw zpc9=-w#k($=;EcL>7~onHoRxoir43!o-`}$%Gy$hud`6kwu&lg(P)JTq=Cu)5wp#4o@T9S%W3~fH~yj5|Cs2fuAE`n zqws21AGvxoy|1QGiEaC`GKea9u;4~b;u?kqZllep z>ik8u`MMDo_9C4A8=;60fyqvz%<-(L9;*rDC9SsD@*cW0@<+(N2BUAaa#NFMPwSL0 zX|B~3NvdQ%v8cA)O3)PIJ!smQPI)><%a+soAX~_C0N)ie!wL78dvrk_gUewmQIyc< z)U?WLJUFk_<*TP74t|Sff2*eI^Un1L4zD=deh;NnX~GooUU0l9K5~4^ z`F8R2)_O;nljsH1z^gS@1|hqrse2v|eV6Osn)iturF+A*=+=j;3FO$@Q~0H`nkjzt zNbXPZr&CwDL>j)lJP5_DVf=&C`#WOYAGCZx-uD=S{1E%jZH3_|j9|7Qnc2zqE-zM` z58KR?uci@MRkeWJsUK(($*C`x%D&WIQ1B$_YGCtL?9_&xO)%}uP@f2M`N%5MGd|lB z%j#hLd-E1%`ofi*iH<}#xXr=*V0`=nSMt36EjmrJ9n~%B?Al54w=hRr3^Nu&+0M$8 z^W9fjH`CWBeqvYJO99 zH`YcL5d~)J*lCvnmDcXJ`8QkFOs9lnKBsXgKQ+tmn^4;nf|3=feo|i5yLEf76^3`L z=jfR>aZq+1EU~s2{3;krn?}c0PFe+}wqWtdjaBD+R(>RM#qnojjKm95z~^>HaK zvvww336>4NN~??4$`mr?vSoMm#>5_Jg{RavL6&{ zu4Y^sjhBX?GN)>>lLovBVA$_n>btf{>MqkJDht)+JG9o7I%-5Dq#X#=K0ML;0hW2LO<4R5JKn6nGq$2 zD)s+?w&lRiK?{n^+(UQ|0(Z7;_KAsmJ@bQwdx9wpM!M=|Q`Z#uD=KT;<{vh>2(5X` zdKEg_oh&OBCX|?$)vW%VnClS`AG z@y2$tabSpmxgWrIZMVQ_%;{1p)~&}U^$rD(DxiiS;wd*J&cpAli59!$SatWgNDXpq znq0;IqHMS!mH9sPOZSQ_51}=aIH;;@1sfF`S`L&v1*GrSmYsd=RhpyM4oV>`IW|L0 z5DgKn1i9&%I7wTozBv~&&MJt8irVD>; zyCH)}xHTdh44aqg3mCy?c)y-X3l<8(S|BdczfTkXgn6+YOI}7)NnQqPi$tDASm)~p z1wa3p{aR^q$w_Uk?Dcfo{?S{dvimvVcPKgB1oi9$*JP)(4}1dSvrjy|AN^WGaBBP1 zj}JkzLcho`#_^3kD-_ccu)4K(=j0gV2d0Rq_5OXw%({(#IzF)t`|*s?Kf$m@tF9=s z``l{Ly5uR2&h}M1Xm6PeJvZ?|aLNZAM$6mmsr0AVi`-iXhwgrhRetGuG-rW3jRp`=ha_!`}{uU!v{EJ`ypk1D)z%*}AR z>(0Y2j(4^y@w-Azww**OaXaPl$T&&q+K;~KzV^%2w;sL{pvyO^5~cBtOLA9QSN<@S zG24gKzQ+)i6KjesW)Eo@m~@G442$BqcV$%fy{lpaOQ4B4p6pIVV2dRVbK)Yn#u3>z znFGa^ksyaOZE}->=>7+g-|##AFOW~#ARseGH_D`Fb`t`m_TOo9(rod+a@4-}k9(-e z{tD=D6eJrh?Vr-{$qLgs{>R+FzY`G@i;kiHpBxv0U+$s)?*CFXVwu3JCl@cGN)nej z|E&=f-kbeZdmf7yYc}mxjSBDZU#$#CHfF#PM%DLx{7~n}3+mA0^8jc%(e55MIW`Py zQ|aRzgO;?ntJM7yLj)L~y$5~FEb zLmF{sLW;kN{a_13esVN8 ztQbfwqr5T*-?GbTcc1NHw07jEg<@r3bSO+{jtJS${&;nL!G)n>NJ%vpIz8F6u%c(6HF?+rOip^=hsq|VVfJZdmxx#)XU`yfRS zg&|%r_kWcrlczK7i!jhiI9UPpII2Z1=jpfpq3oItd2bGEC;IlfmyL+uA;=ZC~CvJH8<$I2Itoh|1e zS>X@ltDm9SY(jd-YuEsTXSjQah6Dk&LV?B@PTW&1-gD%*BSIS;TG;A9M1pllgtolv zbYOHQOo^WwX3lu&c+rp7TadKuPp+6R>AzHb5}GrODq#tK76$uu?rPQXx4HLLGsva3 z^?V^wnXTK$R0v)^{sKP$Dy0N?(?bwwwor3EXXHDCiI&Oq3FA%(-(E(=34{6IG3Z=ZwwLJ)vdg(!|K=6{aZZ~ffRP`d2tK+=+e8wy_ZuMmwCCY5{yU}Z2QBd? zN=N(d>!w5%e=7gEQw22<7C+o%kLWm=d{lV|A0X4JyUiY{%mYxTa9*lSGL=xMJLKK( zL;gPS@1KcBQEbb!Y`erLQ-z9aKUk2(+uIucuyDt$-_D{^qCcur-O)HYg1>(Ye`kjz zJ@oK{HQlBDECjE4FnRi+uM|QxoA;!i48oG!O8kpy*|&m-zO_dj;M@y_C@P)Xn}*5} zw7Gn1JCu;Udr@rmwYjZ{kB6=5KE+QY381U;iq`2ehml zZOE!GcJ=UxL4Kg!-o_mY)GI z;46=cb9f`T!1i{R_JTO$2YA`lsOs&t!aKMLF5rPyJLWr3CHywO0{YdYE|aR`E*b#> zjX2SVF;)>&iNMhXsFTdKVaL=AGa$a?gH-RE?RfuWAumhKr$U~Nk8x# zT6a-8xxlq`+2H&JfYcLjo{`MPTE1V8fGK#2?6Bu&?ctWxBZu(TyYN#Ca-zqRUQiDT zMBdN7bTW^G26B}CLr(bLa;QEW(EvWYNbQmUPM@qwhV)qWe?W~;qjny2_7jIxD6g!^ zKmS59u(Rv$VBs(`q0OnlQvpa{*_~klC}&Gm?&AoAVs1_TD7}O2dk;v!t2}9cefQij zK9(ww0tEIjE~S)Nm6WM^gxW%V_<|}CR*^*?q#FK=>b)Ug zm1V7!$+*|+fPWowJlGL91pKb?RBdxu63=xoQeEt&KO{}55m@+}ih$GSY{;W&BnR16 z28O89BnZV+V8Mf$ozzVD0Wx7kJKnoB#^D~im2+5wnCVx3u$pKq@;fjP($WH~q*Jl-O_o`#z2HWo;MolT zleY3bZNeAhSuXw2?=1mV#H%|7tNDmc^+P)&3Z_cVj1oj^PhSg60-67 zKq|#qgO>~kK!P2RMH~NdxA;WMwW?5++D3+;v|V>^hk^R}YH0gatifaNf6ez&9|Gnd zPgg8wjBz-sXd|Joj4LUyNiVqpA8R?gvr5@<;ECEXpxoQ$f&gF!XW$<=-D(W?CJ44^ z)S8e-4_lps$0!ctd4<@EHssl#EEQd~n9Mg>DylQKY4sjk9N++9YXE6Cafask_H!(I zLQl0fGQ=Ie4i7o|gxsh!jCXM}U7m8w;V#|SqL|ckqLwF%L!|FklowZ zz!$R$-?~+zq8&+UNxrbnb`RXPlCUM)A289HS0bFmGVgcLE*c%e(}?EF-@=bD>z_IV znhmx8gj}bp9RRZDIjL1{A_&Pp+Mx_#}@6g$nOV-|N>()|v;?T~Z{2~f{#}x;k(X_k?m)M;RPpoLit}sqvH;)^2%{1 z?WLuGz8-elq*(p~jdXtU60P5xIx^Av+w=3cs3rsh6TajG8>L@BeEj&S+V}g#mB&`eZI?r2wu@^AYM@ksY64-$ z1T8J5?8d=at?&s^_{P2$XMUd) z_ZF*0IUJK&GRs>EUZxLG+nzFMJ$VbA=%O4Zvg<-p1oN2)x{bj|+Mu1iQOL9|xAHtO zK*l|r^puGJT_M+85QLpE7lx;J(;41I-06G)_$ifZ%&a?ufZVte?|Q(51;>k?Ax9L4 z25h1YY*G$NP22e*KLl4jvAs$46KJQNys4UW2@VCV8@{mov&AXk{XXW*UlY%=cgu{4 zavH~diq3O~0%*VHQ{`Os>Q*;pf@VX|PN*IpF;;rXRCShksh(S9QkNQH0Et`&mi^IV z3bGrtJU#AeXCrhgp_wY>-1Z<{7;%`3ue#ZuUpwe??O?gD8V;kni)SxaH;#r;;gV70 z-B>@^w_Pke{1K-3RARF6_N2XOC3IJS&X6!L(fUHXt08@sCR0Y9F12zc{}e6vM@sZ*S)Y zJxS^Bc7%fhKPTfS8qj%Sh=Q97i*&N*s&=OxnyobKz{b35nw2f#>#N!3};t|x!l*^(#!v@ON`cw+SVf0eqE z74?m%M#HWkDUCQF{abzG+b`8KS!83pgLdEn`c(vB{Iv4TW;KH2jkhh0Qizarj#po) z*#byIF#1Q0RKMUTLDxK1p;1|Jzhv7e(hBJ1wWHS%q(-`V6MMq{V|R*YNxBqU$@- zePP^Ou@;@au!BkwV)3H7+*Ci@MGc&%NmpQ{pZ9!lKN6a-m#xo1uHQ2vv_LwL_jnv= z=NUzEs(OPco!dJRJq_2nDwR*}D|A{D%B#qzgl4S&2-qlEQsU7~s;l4_UweW5*AuS_ zhfXUeedheAo@Sue3ATHc^GaFdv|YQZcu;14oto5_)>T{yt@_vSDxR@<_QMj}uEwJT zA6IS4F3rn;clj%z`#9=9Hb-*P?-YIRa}KLT zlIB`+A&b*(@P=d(wpp|}XJcZ1NHDA|06*-RLI+2?mK=S%PKwjY+56xjW;FRbBIbencF zL)PFfOu>Xz?DgP{4DU0^J3Fuf`)n}-Cl73!wOTw2^I3Z^+{FDp5wNBpcU2F%w|shv zqY{*kUnm{5-*NfiBazw85$#|)g#WZ_^U!6Qbc{^C4f!WyZS=A8@OxS(zYpxth0%O@ zlRSAL7WF@d&G#lO^d>O-TtTs2-gRrU*nFvHTUf>=ooK6bfnc2@{fNE*6eB9gL&i?8 z#u}A?St#1_@OzN+Mn=Ci(aB+)I3A3jhN0ALAWCQb7yW*&?Si*GWABvS?JdA=9sKuWkl&_BJ5TjQ{l7eA zz5CR}?o*&B#_g;e@Bcvpb$_v2&+zn7|AMFD1lVN+{BiR&@qc|)xwAjH{HJJ9cNg1Q zKN`V5_1|&GqmyO-;}Ec1daoy}*k7KjlzY*#Buu2kJw+;qSA7oHzgDOCXSP zyIwMA6`R^L-mr%Wwk0=SE$wsA!l6sBjzwREn)rtdQyU9txiW{?IY69jF} zw9P5nqhh=KAX>PeuoTMwD0ZnV-<gHTPc zB?1AzH}U_Yborr(Vp;W{llJXv6Py-HMaxV3!igRp+f)UJ#)x~x{q6O?J-wziaJ)O4G*|?^)+~( z{`~ntk3Amr;HG+8{Wb95EON&DwW7GVm-pX7L8ygCR-)>lmu$p;P>1z@0Sb_lKO(HH zgetjo2K?vPoyNBVZABTBPIBPpD9ON7MFef@94W`25Bv44g+uXH35dl>Ds@&QqI5=_rs6o3 zXGi*^lY7tXo(Qr@q=|An5O&hSb{uP2sc&)beU4e0x}^AJaiAC#<}=Rn!+1J%P7d`Y zrOP8z3HxT#m=cEhMN<;ao;gX4v*H!hhoNy5EtH{3wq6ZXQA#S@6IY&p7udm0JhN-z z5)!=K@?=nj&wPEFfd{eaPf^X{iSrVJroyk9S01X&R0kD}K&~C# zS7htSfRtR5{Gqd3MJ#`(2H5_pS|gS=Mdg(3x_WM`uz~-74Y_+;Ry_5V&a?jmeOTR^ literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Radius.png b/src/SketchPlugin/doc/images/Radius.png new file mode 100644 index 0000000000000000000000000000000000000000..11beaa02406a471ed1f3b26ecb503b8521b09499 GIT binary patch literal 18666 zcmZ^LcRZEv|G%iLWD|1i9c2rL%yW=&?93?HEBgr9D~>IMIJS^2v&@ij%n-8o-pBgg z)cgJYe7@hu?~fi1_qnd?b-l*(HSa>zR3HR+)OZ*e7z7GXS#=ByOj`_$8&Dif;4cxs zjdp+^H=NWV(ip|P_g8>lZkkIeOJQJ?BJj^lZUMjJIzXQ}VPM>Cx%zjb!#?jN@JA|V zIbCNB`&Z6xCa=vf984_joO$fboaqI49`W$;?$BGtV_-n_6lA3|-HkRG3FZsfgjE~=j|sqq;O-HndoC$KH`ut<3oEh z-!fD2-EsU(e5+`yNMblwB^}RjQp3=2`26xRZCdvrO-&0dc;N5~-u~(0{4`yj=%y4A zbH-OBjvqZvjQelcO%#$I$FG%Y80kdJ?2qISxWCbjDMi%kD1g{}67}v~KBSTsSc2^f ziGFuiJd7U7fQ4YBqNPC;Pv>J`oORBS(vq=+N3C+)0Lk%*ZnI-ZnRKFAY+m%uC`g{a zq1juGjxE`{n~w>S!%TP}QxOzkI)`!*Bg1&>Lyu4-Pyby7S*mVYD?Z!sfeuI?Wmb_#R&cSrS+k;TwFRZS?-zM=N{bR< z+z4duidyxiPk?;6tCc%)zqiU3)1OfRpETp1_RAt|6Y#T&7@|ve=O5HZz7VPLC<@Rd zZ~d!QWPy66Y;s_X-V3a@nP}SvCVOcjbJXU2hEL!1Y@5YsUd*8?#mM@gX^?EJ8$@a~ zknCDIhjrLM9_mf9{)(jEi~N*hUW1Xf=*SjpQQb+#5Tee&ACY9X(~Nq#4#0_G2=5Yi zc@nUd2nB|e>``6@$AZYkNgg{a-)>cD5dO2~*B2ZTo#hc!RdckfGIGi;+HO{eP#o_G zJx&=U3CGgETXiyWIojp-WL8*dZTu!FcP0cGhx&YZ{4M8?&2(nJ zY8+*P?nH;#@mhA4Hl1%EQn7pERy*H%Y8IUOx;K_||6n7tSncBM%wUC#eAblLq?&m8sd#ExoqX=h11%By74SaX%ieX_}L{Lbhj}$KGN|!y)zXGi=LGGVPJHh3pNd`zw2kDfU8^ z1F}}!;{G`{^?SXSUc32gYxQIy}g&V`0?r< zWhy7sS&a;d$|~xy@I4Zj&0CXUnw5)+%h?8bY2r+ii-~*-g_M_X?Ha|aSl-WGH z_$WnbHJr(I&$EC+K0A}v^59v{Q!2C8kkmeL{?EF+$xVhO94$Fs5SRR1rzxLHFF6k_ zm>#F1pxhS-%v?XX1S0T3VMH1UjWHS zQj4v1r_n{^=^l(hxQ5qHc65fUL|Z{x5zWObXX(Wv{MLhVFP7dzGs4bK*zR=3+|kUC z4pg43E3un!wQC77QO4mEyyNI+)vj)8wug7oR5U$|Gr!eZN@!A(6YG?ZKcqph{FgGzfDe4|-6z=~euRjCljn<}FesV^y6 zRO>T)O0#4c68k7`Z+EiJ<)7}(2d*rv$!ttQ*%4DS+ju2PSS+USI8eA&p?1UJIKUv< zQFHVZv675BwuXh?%9H!7a`{ja_^JOC%^{s$(`Em-7q-q8`15S=c`BOl459RpWjN_> zY48yHro@f`Q_4wpFYa@n3w86QAHUpv-?+|22y@PL*ju7Zhp`CzH4d_cCC+}wW^3kZ z=QqiGkF@cBbq_3zSo}4T)3Z&&vTE!?Zrjwq9HD~sN-b5-qpzmsWHIGvvq!Ij-TLd> zPh7<{vn4*~*3pb|VHDidc&MeFzN24Gs^P9!truDan#69l?ma1zL!BpV?eAQcAU$Jy!IEbtZY4&f zg*xdDOR4*sMPu_tZfIqsXr)}7?1zjJB<4JAwWUk2EuCYMIipLw|5T`C#KY7!dSk1`<-Juhr%-%_X|B)%&xxfBwt)$6&AWH$C z@(_-06P_T{pM9xdwFL{kvLjK4?bUj$mmlLjj~-5!+piQi;8$(FZ*autUfJ}>iy4~6 z&7`I)(rtNlL1R~&D#})0#bh9PIhNvWJ6^$IX@eejn&*edgqf_#73dV{#iRR^G<+;? zdw!1;nE$erhO9GPKRX9YUWAR*2skAvR;Cr~kl1Q0v{4#ojohUbZ54aGwA=mgaxnd3 z2-y)AK|>z1oGjQ?X4a)FJekG*Y@gT2pKtTw>5rwsbmq`1YoETN>WicgiX`M>{aXE| z0>#4_0n3lL@>EU4yC^HQ*HFjeU1`)fe$4Bz@!uw(^9(Dkg(*)t#7OVT6VCWo&qbag zjJytpN!e6B2o_F|3hFlucyT6at-fI9K}Z0|Y9|vsNWE#z*S}dGIN{b~&~!3LUdZNi za3Dr^m)%j7D!++T7BQuh6V`KfsXwo`D(-T^F(qmA3+%J2y*5&mB48<3vi; z9W6*xv96x4M~cS2;Z;8uDQ4t86IkoArd@1sQZ-?hLgay6B7oo+ig;x=R%X@`L@>6E zFyEjScX3+s}JGw;rZe%)kHn zZ5dXuZOaA(SR_LsAj3-K(9qTIQ*;9z?GZFh!^MVMQw_p)zo2zFYpByM@yR@8H_O}d zPZ1<-;gso;J{Nwsc8Y*lW32zPOEvsqrEZz^L#mJwhnF9B@F{%w%%?i|n?8-zSRSlb zsr#@+QJ=ULv2NHbHkJNN9rF0)hgI)WU*mo;|M;LvfaFbW-gHn1_*Kt}o*sJlnlEwXWC{c}i`j z8qsdwIK6RWmtCyz;mG9!myflU_ZuZ-n?do5rHm#B#l1&Yp=az{NL!RFA)UmVi!+aL zA$Y}ngV~A_EZKLa6^Rhv{a$9)oxrnkd8TAot2)M6nM{%h$t@N+zvQX2*-zXv|1|l? ztaF#wiSkamgwt~Fpr;q#Vp;b?Ize;9m2p9W`+nN-`;2u}=I_1tICZ~tcicI%Z#s7^ z>zO&4(nl2xM`{;Q1W$idB4LSd6n3^lM2D}u2qj^09nC96nzr#CO$zU&dCJE>Q1F6g z4`}A}cf};Uud^OqY?1sjcb8fPlud<$7ABSS-O{hr+nx;{>hdO)Pv&GzJYVTEe&ZOq z|JXdT_D-s3eAcHswD7|OeY>$5-tdIxg)YHDy(MzXIB?bppII?0f`S`9w*19Iohy~| zBuB2!cjNSFHo?wTrDtA%vOb5$Gk^fP``YgkBV zx}3{VImeDjt&3t75IHIru05(>gdbF|XZPME29Fmn)rf7@jyhifO@q~>_K?T!z#G80 zme000pAE$CnQw{oSWg_zSq(1=+8kS^idHCDs|_rLB#BwTpmgD69DJX3OI3uYj^U-I z>$FwtwDkZto*Kc=Vw8AR+}4U{TUw0Y-C>iANNw_2-PPES<~%j>NmY5S?SGpo%`?)~ zY5Z_S%r09%>uK@v+GPZ-r>L+_k_e1SOq+^#_D#CPshF#Q63DRXLAb<}+cf_0YRYti z(62Z8`GRX!L&Z+rYm*&&jH%3l6shw{yX>g5q8nMNnXr^i=e@z(TQ-D1S|X)v0Adj= zHuw^=@4@gPe^4U*i>lU&{>HchC_73Pe+9L>&>btMQ+%JnV?RGPZFYjB7d&3o?GV%N zw9qwaRPWyD*=69e;Zi;6F{(9c2tjlUrOHE7Q(M5Cy*_Q1Mx9Gd>$_Zb4GqpGoCHQT z2OyWU#zQsk>xM)ASBZ$i;XfVUF(#i=F zz?UzlzIe2v4~o>YyFN;>!H=dUvb$N!R8)H3<5QMdC>wm)wHE&6Fq#5pQ$(6dm#oIB z8eX>j$W@X=lRYfkV-9ttMYDK)8qS)WzBq=Uq38_7oKKK3nVV7r66tStLtO_U!Ifnj z@e^c#o0y03E%(wLlKetO&sUcL_M`RGqxhzyXtr*!VTpPrt4ngjWa*{zs<`K#{j5W9jrTGeB~ZmnVG{LfPb;!_Vjr@9I;tD4XFGK`hNqT1izk zi_@6y<{nrK7if*alL~F_2`M z%jrh-w5R=nUBgzsyPp%Xum*~ zcnn&>iZfgMnYORg{2Kb8$pOTJNViFs(RWn*Q=VH5a^Y~*bCIH z2ZrN5oiP{q6#MA2JswRmNIppLzS8W$kvtzRSiM#NSHX&#IZNL0Vpnys8U`}-KQj#t{r_7fsPN-nme(&dt$F}2dP+6UM$%2yZyPcyebe_{* ziE{9ZZsV{k_`kn!AKlTFooMv>@?36t?vpZQezW-a@icgaUq9ahRsQ&KSNFrdeDwt% z?;|lZS@??=v;D}Y0TtgGYwkB*+@w>Ad`Tn!p^p&3m~@X4tcad>K|Q-eDHXMa{A3hHqV{$V#B&aY`Xfm^F^Xnrn`t0 zR5kqF7o=<`vqBub;Ozkfk%)nPO7&a!)dG)N;hvO$kFZ9SAO(cpBzoBwpzB^B78aN$2lwiESC| zob55gOg$%SW7FJcch-u-m9n#VI+Nw!FTJ1t`7r+Rn{!;D5&6u3y^|RjGwECy1ecHH zODt1ptc-DR77;qPcDTvBNE{8+0)D;j=B5#P3U~VX3sj% zAYu%29`vx`YL%#E{O~$L6{xH}XPyd774iAfRUm7bB&~g~`uA5#8t)U+^S;5+3t771 ztp&T`%e)2=e=u)fx{9u>Rb_C#bMq_{a&euZxZzN5Zn}x(R?Mm{_Qff3Ks-kc2;fuf z8x@f9eLcuJKMB-AcVgm&_d}mkJ0R-IXFENIS#mB_SP1`a*H<*~KAFBik6KsRc5}iq zWz$Q$vn6(R#|p|TM(%4@tz+pJdAOib3e2l*4|+c@+7q}R@2qC2FQPuow$T-7@++*t z*kbqtZ;va*IY=}yA=1QMR%2Jnsa-aAbjy7b?Jx8Oc^X)Mq~%sJgeX1sSe^g+Wisf; zT9VJ%du>igLMc|Lq)cUXzDjNH&n!QUD`M2lU>!WjbEd!RVYWJyU-6C$Fr12lSUu$ohW%Nn z9F6E*WkiwVhl$W0J>s!+!q!$<5S4RA~$(*_8 zIpL|Nyg<}uSn1x8F%W=v9q***SLnK4o(o(mMOSaBa{wp;J<+fTpkTvLkYWEm!X z)gKp8fsv|_-PH>}fJ+?HKPxv2CHWH1X=sD;V}{df}u4($ZO(^XS4;u#&gky-8X zwzY$lDaL1WA5CdCL=t+gunPJD6d?Ke_{j(VYrllPux;^qk~ zo^*JQ%R^)5mGl&1r=}WLSkdg~=lWOqIr72AM78~J0l9KrrG7QU;~8o} zE49?~htTZO#>^L&D@Wp*`M)Yhdp#Gas&1RD=NmUUM>Fo{C!)oC8_V=6Xudavd~(=r zGYhNR>)2*L*S|pJ6zLOE3wxfz_$)TuP8+umu_q<CC>5&e74TJ<<(Yg6iH9geRCGPqd-`JbB(XLMl6v;| zN#rW5rS#rQ%E%#RhQlmMh*hNtdOTg?!{?mN!UpQgx+U!N2dVV}#_@R>DcG$pIJ8$wLh3=1f|z3ttBf|{G;wn&jgXKDqf*Kt9ix6ev8 zz?Is^0gW%0@q>McpUupNcaym-A!bm#{EM0v!`{6|9is0p&Wc5qCGUYVE(3lI5F|J8 zzUCzFuCfrbozcLURtEu$7N5p4jivCk`Fx z7;&Ki>@-ZUv}OV3*Z2dPy}zY>Liaf+O-R=xZX|Il@)?AaC3M7ca6%42Vw%N@%c~ws z!2H$`pYV{n;ZxsF^4LUBq8?W3`uB;*pJMK7ftMHDo^CwD!&^1fL9MrXo=9x+zIH_V z9OM~*mr!AX%S^T!fvbV((@HtTB9r#btJ+(4kbS3=Yd#5j_zK#eeD<=#?tyH_7yN^r zjBLT~XB(B&4~-fk$(J7JI~pHy&7)p<$vab{dAS&&%}I@~)VohyewtRaLlCrL-kR1S z@#KZ0jpqi+3fb1eUW3K($?zFQg*feOnyNRs1R($vCHmyL11=Hw5UhXbq&DYfw~=9a zRjfAnj#O`BYu#S{Rifi9;@xHKh3$4f^R#R(DtdUlK^5e+cu>rg>y@EJ+$!WrxcS(9 z|7{f$^W|}AEmPWa65A8Ao<8k}LFx~3>Xs>@1k>=wyRqzESz1?GS5YjDIge__K=?T` z=79tkdoEfw3oZwawI;B849^h-EkL* zqKUC6N!@Ugf9w?;eGir`N%^o>2%1^JX;{5NQ>5Yi8-{@iNp|1g_{OwlKV-Gq-XH^1 ziL>?mSHcsl2yjeOsF}LU$;}V5a&>G6?NjWJY%=kz3xum?BkMQxWIiy&H|`nqOgGOD8*JCPERE(-Uzx%l`qb%{a?=rPo zQX>k`GrcOz-QUFOhUl~$k1kR_O)bxv>o%N^FM`copSRsCGwF7-m-S}t($2PB6%aT# zyGKC>7f^s=W75}T`9o-&*GIhf_d~5+DGD!Uhdjb7IQ>Mv_j*mxyirdo5*@%BBit&*^X z#P4pcRJLC4#tkt&T&er!CvM?1s`*`-=&355l*j^YA5E636#j@6-K=$Ub9ny{E3UYe+J)W7Ngse!H7 z(Y_+$Xh3B+D?RF(x$Gwmg&|xF&@&G&^})#LidQ6F=WFeBJzGe@)}(rWY)?(EZ|pD% zSoX&1%k+1bTT}|hoEUG1V(x+(4o+^zj%-rbz1Htcj;I9gl^&a`A_O`xSmL&wn%

z1=!8b?XO6TV+waNDNG`FGK^_V1S1rKWC7K4#d^Tt$j&iU4>X9u%Hr!K2pG zJ@J%9+vc-fmNmpzoF%b9P|quUZo`^8R&%t+ zo>7Ua^_+>!EGy5kZ-;kPLEWttV5c;dpKMDX^;fVXi>HkwEiP^f_mEv1a}?<-DS=FhBU&n9+a2wc|{?V^fQ7}JMsDY{l}3E zRpyLzem#Y*ojG}4ugkL&{RlF`MAcH%!zX{tXcw7^uz-Uw3B?3$W0vjEKca0*n==iQ zoT;N9zdMhmLm~Hs*#T8}0*dF9__lNUZm7fNSV~E?`m-(*ib8(9l!sY;v_1F*`Xw25 zSdqGU6&FR3sD)GMGT~v~g_UqQ|4U*v6Q?k&Yz9Y{SJ7ywx#>Of5mv7|ZZFa?4`I}d z-Y;FkN@*ru&5-A(46n6kx`qTK>tg4p)Nr|cB(Qau=eHZrk{2OR1$6u1U)_HD0#Sfl zLVnDI2-f`4mMw{h38&xB^2lX=bh{GHE@-$~W zr+{*fXosm#A^Z~Pq>Xq%mHgqeSfE9#&9tdF`7YgYPCg71f%#h>a}5SB-eD^^ ze5aw41M9w7SUdiF*>au4!>%9#*4Mv0^(nW1y57Ija(h{M{CnOQBXO9O@XE&lqSM}) zUfNRm{Sp9+u&(`IO=C$oy(Q>B@x|p|8T`eU zUc=mfzJC)yqy209y0gvhQ;zF*Q|MZKUx?$SFiPFM0*7z#E5Je_jf=G~FfM$>IknzM zKR^U+e7{^3-6)-28}unxWzQFUrGd)-+iFk01-kXM`sNstwJCw_qSB+X;Nq};gp*oe zwl@!+g>1X@B9Kz2yEfGIgS^H!nlAT3;~y%ojZYW)N)a1lU#-pxgjnjIxfbUG6)GDV zA-OYJ6w+yg^B=8dP(jF7U3IW`GqndeKOt(7K6Q!1Ek63GU5&JkDOT>v4F zlO&oxav6IalX)4q164rl&lgCv)k?%Yv?OkIyGAeH19rcc>HxUE!3O~NM#wU1%=%yC z#y~KJ{1-ci|C^ovx$IdX`9Bj7|Gn&5If2qR?h`;-2HF3I`~S-+U?TkDkmn4qnV$g2 z8~}I{%0e=X`drO?AD&4POnF5P2`{s&LObaKDjpAG3JKp&A$pYgAHXlw906f$^$4vXWUmLJ<(Sr zP@bLw9L-bGYKRUyhC5;v)x+>y_8>1~8T&VkSpy*O2?j#%1_Dm$PR6TT4@zy6zVX%b zH;jv~1xZsI&ogQ3Ulm)X3wJhe!lqlV)|d$852WI1FY<^w#`?3C_$gxXm9@`)NK7h? zek_k?Tuygan2+=%l9_vBylE{VOPAG0Aw=Hg!DVx)|-C?(?7@wqNT=9x9jbf(MGApmBR&8*<0qGCA|}ZA)Wgo5go(9f#rT!ApV~ zjD>-cL1N{kQA@m!4hh zlif}gNJ!42a^Hu`W)0{L!e$&0Rwkk>w)yfe^k*#U#AigSqqA5X6K$1fb>Atg3r|Uj zv4?Lyi-q#P5B_2}A@%eh35p|jw{lla4$F3uO8vG8eIkk z3Zy(FR6cJFS1+t7v`xtm7ff=FZ2igb%G51LmX^f`p`u@m@f$pkYDlc2bw&rL&lC=l;7P0bL&UTAH3TnqU}Lu(KY@EuhNkf+c$1ej6yFblj||P zXtS*h?w9lGAhaeCOX+(7@ItodRfuSVGJhtU9m2Z^IHv$29Qc-a7#WmF_j#XPE}opw z%_y!fQ#7fe@B6k2Qv=7kl%){GmwOZ|&TDh>_=061Edo-7p8~Z}ni2UaK|FdX8CpI* zL~`kDR+w7vJ}E4JYZb?n^xY3{dh^4=|eV$Z#Qms=v`*3(U)^6J%~hcTd!WB}@&~J?J$9B}pvO?~ zpM2q5j^c(0gC@P5Nyl&ggIUAO@b!61cCEW2i&hS@!;?OA?> z)Q_|iuRUL89okeX4*{i?$zDrvZ#4J}>nKn22y`t*g`>O*l57@C@Z4*+a}igF#r$DE zWI|e|I%v$*?)!+7f6E&i*J{d10E#*G@-ilMYa=d{^samM*06 zl^_9VT7>Vv+*gcaE;xVqiKuliN^ymC$WFQZFY90CK@>7OR8u8h&+cE$t@g?4(6Ya; zU4y_sl;)EAk+F^|FN!$Np$g*cq;01k%>Eb{B*3r-6zsbJVliFZ>o^N-*SQr*_NL)- zy@r;-oy?KN*Z`7=UntZkO;EQhgFoU7!}h zJc(RSsg;~hAA6nkyU@!VVjB!0c9Ryqhr1i+?||^G2g?eZ98U=lrYA9iCo#5fyAR>H z@Ba`E#;4d46Emd|533=q{RWL<%Z!bW&$h*#0ns*c|GR|GqZIS)Q69*Uau>H&mOvSB zc31gL;P!HmUAB_j#K(>I!Jf{l7fC(9ev7HJRpcPKU$~RcnZM6TLo*+Ydo%w+0(@U) zy)&J%IK!{O%B_9v^nST8q<;l-4r^duYo!tbFS3Ft#~PHHy7#;(^^LwKiTZv)Lh3mv zE+ydNarv-cDZ*%mrG=flIqBXaaSkqo*21M~mYksz@9L}<(H7~!&PHZOC!so2T%()QqsL&WldpKV_#3eGKWz{rpN&|R*9Kie2!{cQ2YW3}8kp;9&&13{!5<%Ut1BY+(OUU*kswDjm zwFu7l?_fQ`%>{^j*0b(}>$ZAC z&-QvhMnkn6SiPEQV0!%TOebd2#?!{c2ZY+(s~(`mp(HYZQK+8|Cpf&9;s7+W7Bc72 zRJ`wu^_2!dF25Dn3|ng4XRYD5-d>8)R3J(fby>((x?tkk-9ufe_d+Ty=-lm|<_)>b zFz8QVSlkpfDsEMbTiS=B2;q(srY9H~wn^c|Y7lD0(S>Nf*Xp004g0D@hc}Y06%|5GG zk@CZFxjm(94^oR;=~W)WucRuBQGWx7Xk`A=fBX*RuWP=f+xl{R>CTkjohaG5bGZX* zQo9Car;&g&3;>i>$*)T|F>5e9{TROZO$pamEg6{b1XS7c^~nD38ySeIz#uyUKy~c^ z!Qk?4i$(Q9(>LFL_6)V-tgT$WjhwLgag@<1=95K(u#*G`(jRj!CSXAOYVK6x&nbdT)MiIzYQ%%}9ccUU8 z)pU~q<6f2t>o=+*K;M_45>4Yd*F6=;wr}<;JeD$un?Gj;Q>*};@2VJAO5g8kw=v} zBtFU-$&v=0n_ev{O!%*JVD!xq(op42tbb{~MPk5tCtr{%YXo_w2u!a7rM&A3ULqdG z9Xm>CtJk!{NG|DoKjR$DBdqHr_&Om)A(4%m7{4990hgi#E~QJXNPdP1tiPVx|C_V^ zY!PgU(lZ2%?OK9B9L7771KcR^I)ijcAedB4+^mwCkRmDpt`qDq4Nwkr4fLYhK`aMm z3XTQRNJ0ELm;?o%JW0ed3bDkF@w25!2C9rJ1LTR~ah%5sP#4KR#i@n^3(P~s7=U^d z5Y8CYxC4T~%<4y;ac0%3eiug!*bS`;2&a^246}~Md0HsjKE>lsTO$L< zj68eZ#B9$`GL~<95C;HhPv|!SS*Y?3CPGgNWQgur-Z)Jrp4ip1>GAZ?H*%_~b03t= zFHdCdM9$ZTK6~kSa7(|uPj>(b?uo{YDMnF_JfcALoPc#&rY_8fikS~zz zE{|{3?U^b$*A}%M#z{487+Qm;CeX<*Mw7nO%3e<|agceKE+Z&N}w#NKZ z$mjz+<*w>H$N{HxS8XFV9KZ z6Tp{ueIUZtJ2{T(>6+0Y5d4!o>8SZOWkjz!h66DVXV6d=42*X9?s*)l;3D~zMe*!# zBAXe7b%F?|O|YkWunE5-9+stLu)n5hAQv%8yML^l+vL&J|N^b^+JHU2Y<78}oc$k^qYuvzHLRGr=2jkHjuih{hl)278U3GMu}up%=bZKyOSvt0;>JQ!G%|smj$%2L_d7~ z_N7|T5L+eCH_&U7LwE~l-1v%B({gVf)t3+`9zZ=-ex~>` zp;<<(wVH(G%?wJlA;ML&I#$c;eWC@#MQq%9UaJCG3zTARQu6R`#V5r0=MZ@BSfuGF zw3nv@{;JyisyY>=iLffs+yyN5c>q_BQlW=Wt1~9Zg?rnge&-7$xCf{OrKx9MbQZNA zJ3nI|bNBePkM;L)9i&O^ZOQLGxU`ib+jnF-4*~J)L7C$2_WBb`T=7-C-zaxrTbkq8 zNrr*#-_2k}=;-&g&6xF*L6G|paLb+cB@it-5VJm5auArLeB=-UGJ{gGS5lz*5t&s| zoTuQfsA3f><$I%SKLiFkkV^$x8ewFQg}m#l?1>4*Yfbtr{lxm@8ppODAv(ng|};F?yrqp}2dSy$QYmU4fo) zD*n$WHg}am#eV+S&bv~fC@MTC=h;qA6hNOkn=0o#Dql(Z5URsg*&eRH_wvDZp0zJz zjzB!QbK6{)LGVk6FvO9P4P+Lg!zS1k$QApN@854EZRZLx2(sXAA$0G3@QfpR7y%%Q z+WXSC#2ARpiAtr3vWQD?t;AM`%c-cjcc$QGE3(tilF9N0l z0UNou8Um4m;ph>^V3ayU78`(#895DxKR-uL+tv8q_t-D*+x0${Dok$c15JwhD0`P{ zFM&AAcTV4w+O-84vh{(Fmv`E^8`F2TCQq>E%A*|r+*nPpPAy_0p9u;LAZglzLBn)C?d)YH?cyY8m z}~i0x$pw;sabY!RlXY;<-SF>XnjY<%fG7fP9e9z<3w zi%({>1lDa`RO<42H&fcz^?36t`a<~eF6t9jL36Q#pEDkTSdMS;Woa|@dSY_CMTZ4!1Sb`I2iyig$sw|DM~l3_7<<^++;q`cu4& zH~hDWOBz>+xN*ULr|6g%+Z!oTbQkip@$%yM_czHz4w){^;zm8N{ta|oDT5F|0`|pc zAP)GZ!}cO83b?EFOK1_J3L3-n%{&U@+iTUpW!PRW zT<_bfy)O`KWu3QN+iaDZstgk1EO$MeyJDiaw!4|LScAN{96}J{oRT55PNlk~_-@n6 z_)O!6OQ-Mdr3i1iZK9O*?<&eQvw^@Vv3uPugoWMx+oEDOe%g2+Eid7*i=QcQ4{Jo) zt3Ewg)?ujro!I%=Dt?uo2e^xWq4qP!nO?DMY~G!<=}Q$Z6djGQM8!*7f}_1tm&s+wQ*yjQix$Yk4xbz^1-R=RxceG{iZE!ACU&ANrHrNh2HS^gIOoU-7|w5 zfdP^^*|nNZ7BSvbU#r?$;2G>^z7#5=R#alaEEG-D!IgQmf?_Dj7j z_!JgmRlX2Ig&A;{lS3QcA(w*oP8}qda;4P+QFRo~!!+jg1eCH3g1D{@;v9i&exfY~(4Bc}V z;Qa@&1tNA*KG#>NcIuC9b*pqR4Y+N583_7%-7`>9pR7J!4d_J1QUpJF3l&Cq57ld}8DYJ11__0^?EOGKJOYh>>UiRIa&j0m z<-UJF7+gQ%_Bpz(PdrfybpG^4XohuX|GerOm*xTpW#IJ|BMl`#F16f8pqvV4 z1$EMYxAbtH%_PDhT3JLb(CsJH{^ruq1;`OSF8_ihaXw%uetNRJ6rPw=R_>_bPWCg_ zhKLWQR3J|?lcj%jJglp#yd-5TZcf4r*#W-u6YCZBYtOp z+@m)tOzM3Bj$X|HK+WdSP0Rpe@l`V*uAqIL^50>Gzyh-j^nbqX3&9G02;@w5KrC~3 z3T*c^ka-wibxg%$pO=cCv{P)uodKbudk^ylkWT>dT^^moq{2%zRv05yfzx>aKGrqM zuA(jU4Y$fxf-Y###xL-UG$!Kq-K*%SW(Adx2fbsc6qMr*W3ghdjSOcqa0f02q=uH{ zl;ClLV8*Rh`APmAIY$`;NU{Dwc1q!*V5m_ym_6-!m6Q9R5+s9qrc{}lK;A{L2pz{M9fAFr7hmi;Toj zGl*4M5NN}(9Ofo+Y9e|GeK7zwT3HfkS<>_O=>>`v>_;WBQSVP@j;Ai+hp8x zxT*JBaZy)q9H4G?-Sh2y!bibuMR?8ptHhKQcas|`z`AYm`|mgj8`oDkXHTl+`c}Z( z`mdrq7>MYva#$w#zJDj41HN9+YhMNBYZvJ&F8a#o-<^wPZnGTQ;R&`Arp6$}ut5a( z|BRy;22c%kAwk^_Sg--~_?OeSP#-g8sSGUBp7oqEQQZFf0J3kB z+3Gd1edH|9JPPF`L;vKDkd*H!q~FO$1h79I1t$)5#^U{Z8H%79;LULx2$YuONpe(_ z=<)r3&*Ns^fyXgeA{Y)rLj^uC9N^ykdu7Bj3KUC5M42g@&B_MF6NqtGdj1bPfqrr( z=Kahs{AE3Bxb!QJZe-zNVgGv?>l21H%fOc%@1ZUJe*oZ$q3udB%vOqedV@HBzsLly zIF-HiQ-&m-^W%0DL^6ry+9ZI!Or8@x3dncZ*5A!L5e*uZ$kS|vr=$!Abe#s(S zQ~uOyV8(!Q{~g}}dOuf?b9JI$9M<1G{`3a^QQK*{lACdGFI8wfg@2!VG*cyCG6APW z|JHmsUD}U}?KoZg$MYRao}i+Uy=5*LAF?&=bEn&B9>pEet918C!@oYB2eSBpKPRg8 zgZ1Y8U#$03sGslfoIXp<+urofkEh?*fydhgr@=3YChUG*Y673rmtVh)I6s0c=}s2- zq)K$0_~K~abo}R*Hz$z+u;-WPWrIlxHX~}yufKW7G8pFds+94yC9opQXjGegLc$1` zlvE2ZB2KMK^iSN5V5P50-c;d#_~({c*%0f4-ag<4`-abOg$iIl{RH8(T%#W8KNX`M z(2?f245W(=t#}y}_ycM3zucfHxk>y=q*OZQg&c{=##BceYwsQyQ6?b>U(i{Hf9!!Q zW!+VEeyr9stI%0IWM-1Wq6gRhw^an=`}y9=8CrZTEt?Q>r}m(j+?Jj?^7(*6~^JFINLGRMART6vj$ zN2sTsUCEmbR#rG+PEB()-;IS&N%iL+n)@rHxf7WC|>LNA#aLSW4$#|=T=-woR z9h|1Utm|_Yds_F9@Fd#px%kBS_t-7kEP~Su;QtjUC&=u4%m0Q(m(7B_`cK@Hq(@;mcJ&YCnc19%QVZVaZkd}R+vV2`?&5jU(o!C zZ|(9ON=v~L-CXfijgmgxk>EQ2i_l`OuMtgUiYL`AuF*L>t94Bi)L(a24VaQonCJ5Vv0ZG|A0HueD-Zn z98g-iF^`%lm;Qr0Y=h!m#lob`JYyc}%cuv;4%b5(Zx$6*tDXG}pXYcu7tDR8WIJQb z-m}H{1^7H7@L7^lbfe|~uB$KAGD_nv(0p_U7ReI&EUJqlU<2f?zO|4#a^%K-P;uf- zj{zxWgyKV54EZaoZmDRl5<_TTeJ2agwE>8DNKu_#T?17C-$8=)a17`b6b4%c+A0}y zuH3A9qJFDx>c@^nBhle);;I_?O z=l=VmN?7mFM(!9CX?&sbMPd1J%IA02oQy6R%mW*M1Je)=MptAT)f4 zyQ|BbEX{-}n7OLiT@#tsYEw68!D47a2`zX&sstLICtE=I-M7^@Rh>o>>nK0y4|@9G zJs4(Fxg`@DtiJ$Tb=^;T-@_EO$E{J;Ii{#1JF4U-K2IM5$xghB!F1&>VCnT#E3jd3 z#qOZo!Ve@&=H68|D?^J3?SLkl@W{)IC=<~)!MP&mqyN8zTye`QGmC2-Q-ORP&MjWR zvJ2KFp5k1M`T!x{ALLqHoB%Ek+-p$R=YQOUz zysn#LQ><;~iPHR5JUW78{%o-!=fYpXx7Sm(`hpV{9|BAO%jnXDqf@x!kbND$VH-NK zs%b-0#$=(|1d!dl{ec_LZ~kAgCA-HpVTFX*jCBZWQp$gz<_H~MLfg(b@J_;KDy$7@in5y|}j+s79ZT(;^0 zmpXI+$DDxSbzJZEI}0z*a7fz9dVO&VC>^i-+qEJ$rC%z}mO%xUlJ83{g~g5W@x`~+ zZ@b}!)3a$#FRDBzKq7~wzv%`zq1zX0UYhP@bx_aXvW-;ugTMze;MUIhn3vwFa}$86 zkHKt1xM$T%1_R*o+77vki}UN(fNT_0pBQJw&;w~VxGwR4Wv|JKa;ywHI6%!eVDE9*~JSoS)2U7DgF+g$|aPb@@M*x>*2?Ea^Is%Tw zMeetI0~i<-)FAC3Mh4*UG-OqofIg}a(7n9j*j36Op8B6(hS@4-Te-pu;88>jp00i_ I>zopr0KeNW$N&HU literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Tangent.png b/src/SketchPlugin/doc/images/Tangent.png new file mode 100644 index 0000000000000000000000000000000000000000..6e0d3c9b216e37da38a26e0813d6974175e78051 GIT binary patch literal 16232 zcmaKTbwHDE_xC2s3>@lL%n=qXU?2{pln@Zks^(XbV!X3 zVbtgtvBCCU1NHHV-}{F;@7>qAPJGVioGbQ1^|t)}y(jiUAdvkEH)YfzkR4bE1p09I z4)6re%P0)~fjX(nUx#EiAtu3pc3Mg+OG6;pA^SGWc7gxzd2mzD2?BwCqyK?cJEYwM z505*`>N;yUSU9_xIhsQrm|5973)q`Gb6ge>61aF_fx{{i0+HxakdfAOH=Zfo6MML$ zuw0T_+HHTwFltNjKmvrp zfB+=@!*R!o6><3KJ3BX*j&8E1%H$EBD!@^?H{ep06UD9+eYNxDtK)C|X!3TE3=*4* z0^%P{YoV%*+zMZNRS$r{p9)xID!J1fQCj>_1W1~Nd*k5qf>SRD*jg4x$Z5I3EXRsK z-_-Q_k3aK#`AS}CJ-TMrApvdQNw~Hgbx0R;_OzxyC2$;^-K zfUXxOOiE7k%xY4gwT)=|&8Iqx2jdVz>!ZU;aH)*ra(u8giE}qK|1qHC&nup<>hdpX zK5*+?zcGF{g27tQ*OM92zZ%t;i@s zn2M`~`i>%!*lnJr6jM7&JeGF#mg(g!q4*69_>vm%DN5134&|lFF;|WnK9I)h=f@8& zM_yAKO)w|6r>jVA=7E4*>hxX7P1qbNdmWS^dPK($o^^%g`-YR$dJg|b>a_~*A9J_~ z2d1+(v!mZ-f8~ZqqJt<4S2Q1YjiGRHhp_pz7gt}`D(-ea%bwAHCMECQWLr~DzRMIo zu57H$!+?qlQ}#rK_->LFc03qsq-1%m>K!%VA9wA{G@2_}x}A=J;>(bt=5>dwkFRvy z4IxdorD~+!#^dY6tXG;eR5m9gby(YoQG4aNtLIFx$+U2W#g`*T%g@lb@nwY*%CBEP z7;lbcDB-yn=0Pnf7aaPuyfZcb{!hGytF!n`A@QNb4XAs&gp43J#3^?cTo~K0_IQ(PD7244hC)ON` zymXL}32$6G7RJ!?$*vd#Y^K*zm&8%9Xt0YGnOrO8I|26?>*fiH zhWofqkG{f{&Ica3QC-e$pfMY!SCCK9)@Y*jRnd$}rg{lq^zuU$$3_HotyWs41q%sb zM$D2WDLOdwncjLWEg_H;(T2{&UU#G|u8zIAONSnIuGPHZttC7|L%vb+Uf;V(8;MA) z=e^law%x4{o3v&IA1X?a(+K@;N)nV?)LqP?064@>oWrZ;&M1*pG4jNXBLYR_gh5wI zw9}M#6_xH41w1J1#dyZ7S3K1oyVGU9xuF(9mr|L4GJdsvdu2%F%dzO?J z14}hotn8as6nVcM@=q=Ui8c2T3j2O$@~1o(Sa zpvOasypUbZHgzlJQ?Wro<9O_u=uZZok_$DJF6z-({2C&1HuK26XA1Qzb+Hc1)5tMG zxWmSG!F%gucquGcm&2tSEk8E8P|Z&J0y~G%iGF!Z&9U;J{04zbGF=OUZ;@v=(&nTE zKaYD@b-bS(JRjoFp7z>L*dGvsYvsW~+D1@t7$hQ$k#Rr=*KvOKVV&3MYC$9UL=->8 ztwE`SP|4(TTNvq=kjq*7-p>~!Vq^YNpWD_~Tt(Cg=^MweoP(x>n4CWwQ@?SC#g7eg zz>YV8fpNcAB89c|-YEuuHt(BkqXRWPMlr&$n@p+c zfZF|Ie{tYg^XQt!7{q~QOSo7*F$zI-B^H%^wR7Gzidtb6>&Gvxt}>rkUK zS>G3h)8AZd(xI+VAqv>z5qeG)X`>13P7N0>SvDEw6I(D2#5RZJ9z%&kHL(o* zf+dOH8O|j1mDtd$_&rF zQx_QWEZA=$YCxr?;iyr|B6_T#CP&l0Xp*zLr`(U`&DF`~Iq^Y~x)Ac5>20Y~>X}nR zqtS7ssko6~v8IQLgbRZ^-(RB)+Zey`OL>AS+iY5EbbyE7;y6_yDP{bfmHAdfsSPKe z_^s6;=dpqDut*=0vQtqr!$!4g?K&xE5D_A21pl$5>FC?i2qmInkX_>ty&q|adEb$$ zrni=4r;7b?6s5yi7OG>59u=?U*^qauUVaaPncx#CGtAAA_e@_YT)`n8*!xd0N?wwi z{a_LXC(jOOIh5tzr|>n~^Xm~vippfAHn(8gOp z(WbNhycnrbh?dP2&+DI>xuF}<+OG3<(QBH z{Bn&lom)QByV@%@*LTIV;*kw55=yLQ#HOqB&XnloS`13eR}_?bP4%CH1<(uD9w|11 zy!&RX6%!sfh2s2=b=Gkj&BsOTi}U;*HlDETzUWr=_EJA$ex>&usEFc}=`rzHR=b(V z?lD%%waaUWS|~B?h&}DOuoRJ}c4712rufx39!jjRiYQjXx-ny!{l>ZR&U#;`Yg@d> zfB2#AYXroUn*+A?`oR3SByBy$q3rc20in9u5HzaP@=p4#68!Vf<9&FXNx6N0xU$3X z<@xDOES9H{57yAX*2&K1Sd*N>cf_{;g4fKm+IsEax8)OW$Hyc^A1qSrA zanyx<0}Vp7@B1A>zl2BorB#pH91Ni)hu;pfUmu6D_jQ$0di#rU3LB46ParRLFiYgx z)_fLTbYHCHD(@{>?~OK*SkK5k0xH0hA{Xq1%oDt(o;acU`FX>&{5;w@rM?j8SW~3x&udE!gSW5#d=e+7 zWDPpKa^L7GtbM^sT}EX@kbtPVV+ANW7p=ODZ7>M*a*Vx?{EC-tCO>ydny-JwiP+nI z%(pFPeFXF{A^Mm2Hh*QfVX(<_NC%bVm_4Qw#sFd3ML>o4)S#b0NR%D2%}~-*=it!d zEtXLfMZSU3xQA<_(~n(bzE({m3LC~rZjT-JlDxJYUH#ebT-Ax#Br4*E+eEv@ZLv$E z8|4zS$yM0m`XRk3iO!KFtzcSSkxP){29axEb%iKgrVu_l(<|ADj?DNpcR{y@)+Osu zuUvQykJhpQJs$kpN>}miYigTM%E|k80LL}y7tFo)ho7Lv4EDuzZGK$rPbmkje@owf z%BUJI`+!R{qGI@yUA3`WT!!l`scS?-L{Gz<6p*k%)A+dI(uAgSW0}sWQ zS+#Lm(O`!f;#R*VGWT;oa2qVsh95Y)Q}ZJ1^8FuD>J=ZA?_v=TI<#~q&FlM|q;S%A zlV2F?lv5R4i0$Gdi6#gVrYALiCCFq`QD9XZ7M#yGp4kMYu7|8mHj_4O zl9kW1rmU4ZbO}bs*rnmCtWIa~Jg<>vHP5M`N0w1_ck6Ha_^tS}*Cge!r_Xz!%!8|O zUgg?>vau!^p$zS^2i{j*dN?dImy8SOK}U&{{=BDMR7`*DTdW4?#lq`-t%7!3U23D1zp6FWOyPuJ+1qT z8U;(2OD;7>6kDgi)SDmkPl^tSUw!AN3stm{}A3>UGDg9_{r=(oD!5Q%LQQ*Ep2Et`vKSX8mxyzzJ2bQ#GlU2Uapmk3kc( zUK@)?O8t=J;;!d~1`x-0Vio9}kX35jC(|#FVeH-yNJO(smIIgW%Fzm)U{O(UqD=SIg+$>TXCQ(qpUUl^<6;xB93*qn>R&nA?AiEPAK50F3y zo#H>>KDFtX>VCI?Vn0QTSe~w}mvp{yqm@ao(R0J3e%IynH+oh&t*wmWTD)*0eX53><>At0tZ{ zEQK?01RuTtyGiLJBHp*0l8W%EhQ;eyD<|HHX6Pw!5DYoA-*2*= z&L!lJ5}>FhM~t4d|NQf{yuDTDxYhBIL2(=SXRjK9#%+nh!0RY(tWopeP~Frh>*o(n zG|-&CdRMApe|j`ijg2d!jL)qi$dwJ$Py%4&W)A)D4N5;Gemv%waA4`GkNdl zBlQWaG1ZTp-C1Ld*PPVcBZai-AAe=g?>n8HYU1OP@NB=A(F5t{<c-vIg49YKfy5zPq2R zBv3e!kxR(zIT31GCW5D~Pl-6+K=pAd>ckW+jyx5k7CxXzjsfbuRy4TJy?;aH#m1$3 zSEd%~p_Kej7TU6p!0J0Liy*#`mK3Z=rO;Zxrf6ZH8G0Vi_(zhg?`)cdCP$%nnLEqz=PZ_pcj8OJ1Jv9B=ezi!(0j>t$3uTh>P# ztugmcFqT+e@=5SWQOxzDQOmto3JZqML?f~mT(~evW7CR#D_*)YCh28xc6W>PB9lA| z)sOYiLCU~tGP`Y;kmqQfya5TpX;)TXQR~=71AW=1qU@EFjcZ_&5WD=n&}qERZuz@q z+&Q-nJU*gMU~x?MJJW!ecknxt6W#(hcV}7DOjrEu4lz-Ej?DtDEg~hx!IDYDa;`Kg z)$iosAT7GcHu3^-Zjb(@d)k}g(%ouJfjoQ?qKh?W;;h0u@J&o%YGY10We>G+m2?BEFYJ4h?da?WGXh#?dDr{E>aW~f8S((F2Gu}L3x6_p+& zcfNOVEs<1O)r3sF8^UNb*R@17b~qrFa=a+b54WF{GB@G5RQb`E=OhN7G1Hd0WMouS zzTV_zTw5L7k0aUk{~Va=EfI2fq{Ex4ibwZV>Tcxzh9GzK9%@dd+Ze0Ar~7V0SI^0QIg z^W{5z@|ek4Xx{_cNax^E&9`Qp{xUhCSmMIZR(m(!GKU0_!|xB3l&&^j(Gc+SY-YHF z*%R&F@p76n98_$O?`GXeExH{ySTJiU`)CF?J=>o_deq}`ufpeikAA{lLIi`cQx30* z>7qI33j=v5OU3A{pXR!W>T8_t>XeX`N%^EBD$ljBzI@_c@SQdTMg@4yX!9PMenjZ9 zq2gq)zD;Vpqq* zU7w~pitBHnuC3hfH=|KEt-})m*dhckz1R^}JOhcpe9<3xqi=9f(j!I&x(AB&g!A2j zAK285;Rk;%a__e#qE*=9@~GK7CoQqPmUdXVs3=<3d-DFrS6-CUaK2(v#96KtgsVGT7=Og9>@FXRafU=qO zH0>*SYRtlMhTVH{fXi>a%V1$)y{uV3r1aKPRBzNLci$yVha2{~YVR#ED{dloDc4cg z1^^gWbX>h|_-G~}RFBs}1BAZ`IZ0jgiAVVKyehcWyD^q#Za^)5IJGLdQPT?vjXxDc z?!P>RKAC#O+jr?QPP3`BnN;HDrm_bLqT103G?A?1nS24Yv_(o#m48 zu--YU-Bc5>&u{jf$5JV7MfKEBX0)h8@$dzQ8}ZYdgz!_Za4K-lf&l5=?HK>seJ}WO5RLp%dXa&aS+S4dNkO$OV#_+oZvSl z*naoSY6^S#RODXsIj)3h%IO)w6Vrp`wA|)>8#FkscZTD%oOCTzJ0)Q3H3P(&i2#ww zkOSKnfwyiWhqPb^FYE;;F6p}bwa^3dHP8UvS4;x1n(qfWINiB?WWmPU4CY_%eTsk_ zZ~`wK0|FsXX>j_wTM-V~9k3TU#PYW!2%{g|ePQd7B0P&%HUjKHae(8+o#$YXojXC? z&v1inJq{qfk?)Um@Tfxd6l~h@z`>;VF$`gW-^2dTVI%?ibpI_|<|!hpOEy-2%`2=CV8*OC&#h`)Ui(N5UCBrlK=80EEk?%`hO$og>$k$oiwW}Yc z%ynhNurGg6t6QaUWUkv<*h9C@Qb8UMRXw{1t_~E41q7(6f)jn7#HZeDs>V8Q#Ih@K zeaV|FdQo3$S@Pd=s$5=hRNqv+?s)D|6O@e?epB%FWvlRgC0fdfnPS-;VVf9hw149j$qcp^oL^F^d>S{z_{Jmp; zvRIo+*&$H$QIW;fMXca-OL-&OAvXSs2L_i&_6tlEN`T$eP-b#baMo!v?;n^J;M~9P z>71RGB$w`oFO=0tIb0B4D1T^w{c`wYs#@U~BlqDg=zgn(K0006Z$0gy9?t-2y-tU| zbz>8h$6;=Xa}g=!Gd#q#nYvR|cssiO%?!Nz69CphB$Nt7|3m`Z)!0WTn2#W<&P2PT zGTc*@JpY?8cKg9~b2|Q@AF#qPUIHrmUx+>np8U^Y+&<0xedu3yL*I!cM!+@~YQxb%xyNu=gIR@DPmP8IP#$K%DkLkhyUBD*_Pvd122 z5@HyR*g77#aPu52!zZ69JaVi2kr5nrx>V&un$ltdmth1pcBjiqHBwu7lqU!P6@cWiUv{=`f zv9y#s>bmfnIDW8-%A0+e66a*qdcEjEI`PF3{w2?|@n=pJBK$)tHSSH%kLhjVL_QMY z7_Nv0980!`yQeUPyEsXyXX{$jKouHIL@~XtJ56Nfd0(_xNZlEf;bJqC_!`snQh^+M z>ig_Wk4H+SD#_Mb@Y2=MFUegQ^P{N1S9+_atDF+qgnT_|yDWTTqwje3Y}vQ^&VQCZ zT2G>*+;1^y!%UmQhtn^H5X|8sZ16Yh%j;vP^^>eJOzMSa4bJb4{7HZ? z7l?6@b9*f}&)OGmzQUKI-{ok5Q)XlVUUjND-&df%2Ia|$e+T*(#hP6_kIxbtN{rNd^_(=wl1^VvHF z!$Ts2dMB}dT2UBL*xD+KWBS=FCzR4KRjfr-i8SL;M@0wWwQ1;rSTqT(%mdix8V6rD z?4KRiK;|5bMuav!aFi0?JXPGO>cc+iRm13at^-CX`X@%ABuwn%^@OIpVjVT5fosMe z5SJ>?q`#jBi#rvc7^7@TRDtrkLu=gUmlrO4DaiLWq2-8u6{*~OA)a3=d6jf6JzkWR z#X{+8oW!>=_t$Rlt|5*)pV=JxZ<2U?pC?*sfKX!`)<$>>GWFds<2t%loay-X@Xh@JcJ zO%FJ#3)K0Sp9v`EFowf)y8Gxk1|eN|l0fjH7*oKssp>MxEwr3k;twcSk(6_V%- zL_leY)eGru^?ci8S9#zZEIFLs1Q8&W2e5KTQwN0?t!SOs1VDjlp$vHBR=#$rX`jE0 zx`Db0TEE@EJpmxB3jg`p5B??> zzipMHm)>#*uu3OxtJk5kJpkV+{qv4e^QWZ1B=nZ>g3J~b{`+S@$_>U-z-~p*EtT>Q z6A`8z7|lP1u{c%)0fViUous&b3*vAmJ?%i>W%^?HK+_&w3>KMxUI78MHPE})F^<+^mijl@oqsD7%J5jn!~iQ=^@DpH;*+RPGTn9w zuw?XaOQ;B;yZQdmG(ns;>)o^%hEGwl8e6YBZ&B=4q=DYiI)53vCEJ)TyJigH6Qxh8 z_#>MI|Cg75Y#+L8Tozq60hMAzP<3F~S48{DPl!CG1}+ZyBEdm*E_+ow%}gx&g22pyY-; z;)ksi0;y8+^$Vn3$KbEXY1TmN=_t*o`=}q0Rmgvuc&QEjJn?t`02Wk0S`S@XOD&X~ zmq#?)PD0?heVKo$0W!GN5yqfu@__D}T4>OfXjy3=eSK2a)|#2V?2>}-Hum{KpXpZ; z($`T9K&7LGK&AFZXiVL8orG|0aT&0Ur%As;yX`sQ&VR?y!?$z{6>ekb>7PM=Ex164 zoc;=tG=1Vm`ou}049k10I=)5HSqdb!I$dK|`l})^TmF4IKG*e054M{LI?@4P&C=<~ zP`o|au9Nj&wGu+L#SQ;`vMmX}@US&mH+`}j%}}x}aKF+PYXOJx;r`uW8;9uAdu%&w zNhIQFn#4)0=ft06;D9|zEL#Jwm_ zarN?!4n=)`>XD{MPS2G>Snr z4|0CSkEm$2o!YAHohF#zhv9&TBN?b`(#%HPi&a9^$eWH8_yRWk_pfxi25F)W^H($R z1F9oA^<@AiMIG@edLh83NG@%&{f!a z&@SK=A;6MdTY?_b1=WHg%Dqq4=a4=rfEy_#i0hJ2@sS^T#aujb$NaZQ!7o50C&+Os zV!i+u5_MpnB$Dr(682mBXwz-&oAP@*19P${rIKgM&Zy>CbZXL2bs!Oh0OW&MndE%- zd?Fqb0e4{3_2!9}exT*0BO!eULUqBtH)bGoVLX~#ZQHdO;U{cDX9_>~vD~gNrtLar zK)i-yMM-qsW1WOY+Twc^=X64bEh$q9yVq7s23MI6&^(5!wa*D|^9wf-leOjdtmWq@ zarPBeNw&3BhhXo!4o4q0SBv;kJKO#lyGnn{pQ?3wcYNQuRENDkp|Ct4Rdl%z- z!?C@ml&lEfEemD`r7T`=0-)0-TPx%P8Owdk=99H$1yZWqL0cSX1lCX})%NJS7&dm2 zSZI@XH6OM#Q4q;-__t5$!8m_%J|(~EUPq633SOWk0&yS^;Qj{SmI@FsFxGgaL(tG> ze~pgKCue;xS$Ppy#`%4>HlOK|Kt61l*0v7;5P|$e6xQ7g!%RFiShD=llf9@Y*c_N2 zq!Ni3q~aKAl8d)j>WOlIkGs(!;y7^vLp^ z!5-0aA?nz5fReODezHEYU*-?Xmz&42rLTVl!DYG#qUK3Zhz z?kk0;Z5u${I80*cMt9!4;gNEDz^s(VA1|O+n)UPixDmd#Xf9eSox)ek7{#!xluKNo z>jsKm>X;aaM51kn&6}TP4Tkah>}HHuqcGO14~9Z~H#8>6+C~xJoFmZp9IQdl;0TI5 zs!MX;-lw{*W)gw*RKBz#zOMeRJWeRvLm7!C-PZYOyz1pUF>ETo z0}zy>g}Wd(zUzqVSx+1j@k?ucb8((V9fc~x!~v55%^cVp0|=w*^GwalCGr|ush8+e z=LA|R#rM&bH;DJl9q4N9Z79e!x&aJ>d%T@YNh`!a_iLVLXEID_hw7l<{L%A3Ut6s_&>27Y^*$tNy%jy2wj4WILg*0#=7Pp4$Xp96aTdd7@LSa>pz5sLx{FqS z_T*c-apz!9PX7*#(I;X@KX-YiX|S@S6659wLk*Pdf%S}~_mQBs5%$r+f7yU!ZMSTq zSs|a6AmINs<7hovbJI{;yy*{8EilH|SJa@r3%f}gmOqGE-*eF8xM2F%pxRucbLKm& zCn>+EB#|4DD)Ee|Nnb;oA9JUPD6pNzXU32qdabq{7+l9Fi|ou*(!#wTL^BV)2nFl- z?Sgoi)X|OB(ZF=pzi4kMl4MsMh+TeUuFBlh^4Kk-`?n@KmKbAYtg#@My8Ki#)R!b} z@uTNlipT!okpCeC90>c8ps8&qaL%!ZE-bz2qT>OieE1Tgaz}e(6p`x_2p98lIO0cSe)2Bl^YaaZ_CW0b7`kzlbNlO(_&XiEQ-yCpwbd~AYTFKfodE%i zEDHycgI+|R?M0eq`oGa5nW3&nc}ZSXS=B5gtzJ1B)vvN6%rzw7Uol5S4mg|wztG~X z?%9YKn7^<;LHfgWWRF(nh`b9J$NpX!{oUAsHnt zR+z$L=}G558`SsvX=9C4>CHwcL)M}kI@dy2UB2GPYr7zVcV81T^j@{M{HlI{K&!{D z2JA``!)S$kd@ZUEiUt2S)b?sp_wbnx!%N5VN6!8xj*7%%`P6q!X{=noYZBy0eOi9k zy)*7lK;@!0Er2|_5U^}hmuPTn{la5;Zlo!(?jn=!HagzSaA?c5-4DO=)c$fvV3-Pj2^?3~<;`^GQJ09{%j17utB29lMbC*#g2q{lEsMVnRpN{kwQShg;a5yb5B z-s(`WtPhX=^(6t4p?<^m+d&o3FG&4%o4VE|cp3Y1Qpx(SN)CXkg|)B!;yj8<$OPTk zRxbg(`QZ|4CHlu;3|9HOa26MDR2T#2dA{FTAA3oK8?h)C0ggQVM{%K>So`z(42vD_ zl=B#6z-HyIIhk}Zc6`_idik!M`rrOE0iZFv*e1;+hw<$| z4sY9W`;U;9kG0*J(fMAa$loOvl4PZ9w-NOxT0=jNZ*OnBsQ(iS`sTxyLBNS0#kO`a zboHM>f3>hfliLIKDAoTC-k$+r^Y$57k#6@ZTbmfa9rOGneLEzKp-PbFw>tvBF3VP1 zMBlUpyEt3;p>JaRt6O4=9oyQdI98C%#6YJ+x<=dkpxo#Gzj8K^LyyvdqCEet4P6F( zr^z0!Yq)I{egEZGBL#rR^lo^2(&EqClm6dUQMl;t0aoz~{L$touNvg>GX&&4{kMO8 z+l_p{F%de<{otP+a{L2yM}BS2D}ZylSJ7Kc|KG13yILzPld-U!%oD8HYMA{!t`c{<20VW{su{R8Z2kFPxHVEX!|sAOJU%= z_=W$UZWEFN|EUJ(K!uwA^C8e}`Q-TjIU$Hd6*%V*Hr{4(a9laE&*xJbLipH~PY1HwP@E$4uwIL4dZu+)Y1k z`Gt=O(n}4)RGg!0>4-NuWvR+|b#+$;HXvY&rzs&sOA5fR#ny<=c-!oFE zeRBvC5OD0^wt7QWsoYT|8tUr$cUTS{JVWmQRke^qE+G1HnshTKknis)zKCHM5a2nk za##xd0(rX|VpS271;4tPi_n#osSMa=*By_{#)Dr^OdaQ z2?YG;zhz&)iHK@F z&c~D(5D-aUQ>KL>R2Mt;2_oioT<C;E} zw|i%CInhWRM=6EcA5Tp5;w0i6q9aO-L73GqeoU@>xBp=F1U)d|HxzeH@AWokxpXyt zB_se!4=&gfI0H^qPY41*f13|{M?X)UahwJc~P+x{Ky=lAbVRT^SbHd F{|5(J5sv@> literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/Vertical.png b/src/SketchPlugin/doc/images/Vertical.png new file mode 100644 index 0000000000000000000000000000000000000000..ba157a938c6f9dcd2298bbcf5a458b6cc02596a6 GIT binary patch literal 14607 zcma)j2UJtt5^fSfumKSjMVg=>q9CA1ktP8YM37D>K~X^wY0^t#rAbqYfK;VOi%73Q zQF>Jf0#cNoP&5!iOY(L=z25u(Z@tG_EJ)7Tv-iw=^G!KPklrQDUE8_0Lm-e{7tSN} zArM$D1Ojzog@Jc)zWnLn57bp(^Bg3#GUP45~w-ni>=#pOE0>B`MJcg62qzbkM`{G_;)!*aocmlp13yy!%Z^u{VxxopK!Oy-r~{g&MFgwa_PaDud(zosWPPrva%k%lQr^Q zu(9S$h+yYT0_L`i!sR`BW_JxOoLZwK-;L2w*bVi8lAgK< z36LO=l(%Y3DpqPt5EUiHKb#`4k~von_?9VK6vg!gtP82DCI}&M3qs%z=Hv715pL^U zwvW(MbECb`Wb{H{jj&=6B+Gga0xlQ1&sosA#fk+k$(qgjW}l*DFZ@l_{E^s2OFl11 z#Ph~654ap$eX(z9FGHAPm|#ll!%KH8h&fMR%poAJ&M#Vo^F(Vlb%tAvDB+n7!}u&? zPULw!4BXpg;di9=bXwu5?jXo{?$aL!v((*W_7SwyuQjPV30jjBhP0UkjM-fGLh}zO zW?UFki4jD#DQCeH zPwZ$yUkHTAd+4gVp*p5`Dk!K%L`Asl$=nouZ}+-*93fphKb238HCe`XFe`D93<-L( zwVZqQ+?exDL9S>HGZ(l7Ov%YtIt0!^uHh)S`g*&-C+KBc=~Lg%Y$cdkN;TI>59&OV z#P8{4@44q@>@=@8#|)8-P?m~(xjQ#tT%R;~+$C!40`g)N*q$Be_c~M1l!Sr!a;SC)!p(hhd^PQWZ zw>h1ocyyIIq7Km8zm^A7xFwhsW~}$keJx+8V^=;-B*2K}7v!%u?`b$7b$6^G>%+S7(Z+>!8T4CWS3sVJyjVRU4FDSe&(b19Vv~HK4yacNGK+)ZMyUN%?aO+w2iFRtMroJhRBOqe0p_Z872sE(VBoeRqvp7`l(6@ zb3z^ZiwcZ)b1TETS#q3`y=Ok2$*+FhRc<6vU9n^tepW%pcn?8zPHZ%1yTGa#v};Yw zAQ&=uM2qs;bj>!cb*;or~0r#j|Sel z4rSER{KEU(F4y5`H)8Xc-|BQG@8qF|X@cx7o=K?&xyl=D@n;6_K65kcS5m6VswbBw zdJ}N|{;vk7GwH)V{CsJ6ZQ6bIXG4ueQBWE8nJ-q=#`TwXm5cj5ZgC7IFFwqs(g`p4 z8g^1j-9u!)(VPWU265yaR}Y}+*90LcpLR>YhCFs3TcFjfRR$MoMFj9FxE}{UqRxCOLicvdjVOT)xX!-bD(L6gJTak+B*@+bAM10c;Q_G-23dH}?Tj zx~Kq)npUTn_n>#$_4lk1xX;91EV}-H?H(>&UitajFU5z5_g$hL@;qJ{aSkG*wyl0y zP%Gi3&F2NsE0;Sn6WD#S|cjuv((4aCVfc*Ke)9?cv0>vT6pvPIZX zI1Ti5WF^}p3^>~r$8vSPPYn%ow<60mcwd#`hD2hTzT(0le!2KT3YINR=LQ;Nl=xO5 z>&}SSO2uviw(lpWUT2QyRULbql&`ZNDn%Z5oIPQlk%v;Q$eOx24FTp0<%Fh<_$N}`D3Qah4bIb6bf+=N7ml2=>roSb_A}WR8kkpc zmS#$C=~*^G?acCMK!5GZB`z8zH<^*6G46^3LfUtlwD+56tT9uO!*Hs7xU!<8U1x|y&2s-2XV=L#REbNE z!hi>DWwcHn)@`Dh#~Zax$oj)ui4J*U?)&%eqs35V)TALlP3(n<5a>^#TGFb#P7;rj z%fdU|Yrc2RI(bqx1MqXoZ@yPohm_36aD9%oG-#+$Ixy%;T{Y)!=%af~S_p7uQnQhZ z>ol&}<%Vj?keIZm)lZ7llX2|jGlb}h++HjSl^>}POU&c_F80zg$)(rQqbWI9w1r*y z3@vZXyZX4ouwLf0L+Jc78?*AsB%iU{IDe}CpoP!(AQ2iV7RPhe6TdVYwx?EMUY3ZL zn|$FKHoB*Az_0;FYL54)lAAXc#VGkntWoFDM^puvM`~1*f&-FXJYR2oV!ZV^Uv0rf zm&WLvvnDu;Fmg16qIj(gr{tb6Mr{a&RO>!o3lLJFMzcU}YGQco44Hf_a5aEY=2-3U z1<7|Y#Sf(7uuL!9`)^9$Xf-YS5too~*Jq?p?kO|=bXUe6s7Y@PG48U>SkJ5d(yYf(8C z!U`U<{lyLgC4}iwt~q`=+sV_3*u zzAN78(*=>H83}QB8Hep$wWRU(^_6dQ(;w5B%&}J9(-%aH;)>_&iDo~eQH0*%EHStf zsYw}&B#$N27MI_j*8Iwa(8T0Ujb6LUK4K~?H`d(4orZ7M`j1UHp%B`)FpQ4Ri-(U{ z)+1ua9oCj+`DZ`dHbxv8D0Pet823Li5`6HEWACV$Jqw^fjvS+$65kclUNQYOEl`_$ z|5CChePW*Y_68k#AelrktDh{|nK9SIi%(qml~-4$q@BF-kF8*g?L4?7WG< z92CWLD6P!|@cT!MPO)bBd+Ds4Eppcbr3Z+fL4XB(zF+z`zXwT)rYCW9{})tyyn`^8 zu9f@w4a?D)xhw=;k_t1E`aAOmhVBd+$F9p__F(ST8oZ2Q<10lDS$TeY#4mHFiV|Zk zjB-}*x*n^OWo4Nk$@Zq?n2DE;gv6ZkZTv-Lzwhc?O`ngzuCZLcuRC1JGFXvUHpwTK zvxz~Gb&|=BeZCTf=%3$za6u+oBh#ETLRVY7CKF5jd{ld~jqG~}hPah2_`>gEp)Pg1 zGA}TJWV7vA3|~=ub6)eC=})$v!>O)gDxx8TN#@)To9W*Xej1UN7(M6H=!Ev0k%?RD zWlp()Q(C%(j%0#Jn|7CAsN20&+a`OEG@k{z1Op9K32l*Q1jkfy5L5hOSteNtk>Y)k3A=c+bVb}5qBkwSj%Qh1{Mphli;1Mo%`cbJbJR-REux*NK}41W4m5L z_!zrBQHqPk5q);@*jv7+l81d=OJ1MPBv1ib$A(dQFWsy0l)FA!;z=g!Ce*J{i%Yce zo>{Wed}z=jzR?B&43H%~(QSX<~88 z)Dm~^a0XY8pBD72+^wK0hyK(KQQY#Qs^~r;G2bJ#QcY{U4^Uzud~+yaSobgn5}g#p zDWXB^j8#uGYB)%d{TbZ_NMsZ}+`xk1h0YF?#+DWc*7hUfE;3p8{`^QHIgi#$l2+Cw z=p>2EWdDlwBjO>pNnR5_8~9NcXL~BFqCgpFm@lO&k#AM~Q|F8&NJ}Jdq;Y*Kn*O@0 zMWe&7*UyZ**&?=dj_O!d9rt8C=hmRt&%MPGxH&wsv^4i~irT`Z@LitQlPs$2CY1+u zb@e;u(To!LbamCKSQNqD@wTViY~`3R{YQZES3k<2PW-9YMmcz_KRG8;Vl8HH3rpAJrdkdgj$u~r8dsCv7wMwJE zdq7d5EgK)$Nf}nIG|GW{49Sh;V7H5xjofdMa9j*QtrPF(p$n6upLmo^(Y|ZT&h@Wk;8}^2&G_>h#Zs7RR}-ZSRIcirM3<-d_E$#o0d45PvH9^;O+Vm%6-4wrtSdO1ns8V2|G90oJ7yuV2 zMCAynyxgG@WfH0zhP>*K_GB*<)Gg7a`Ve(>bx?dpUO@MpXuDJPk~&LSyyH16kj`_) z9zU}(IdA`Y&8WPdy7&&&&m(InbjNQuj=i|q_(jgxx=0!)VRwfes*9M~GRoLIiW~*iizgS%v zIE<#MlfTMxB6oJv#x+!4z&OUa$ucw=0x~9)guooNvD%8m$FCw+4o9tsNIbn7?Vrn9 z2F5n+3iDMC1KX3ISE*Yqwp8KDFP!m!PO(KuMHqa7&Sf?PK@Nl#;{8QAi@HM33^{$= zOY-io5a>5V=~q&)MrSM_B-mw*{_efW$G!w7)9hb?flu%C(>zj2Xx%9RAvwJ>hQKVOQI&_7v)nt=7&hR7qr=%lee#g z=7a1&-mqng4`t3Jebob&oA^QH)<*c9IaqlKsGSf;}-oC=~u>XSRK zrYwbrVV0Q%gv-(T@E>hmFF7Yq+@>s#D7$;Vai0vB=UsfisNNzt2|4>c;pOm7)M{%^ zOVS!CM<_D=iJbT16qjbrle12d7nyE*c3hYO?Y@@P56w3>aQc3`l|V-DPPdy)0Z>+6 z>+86-KNqF!{V|v;p0Zz1y5@6j6Ul|%XEt9Ry;sg?&IFe0@hUo1*0!C;3u?I#EH*|i z0!7=nC@I9|M7?vZc3}@qYVeur_S*hKHCs?xzV!PQ4v*YqYNWD5O8B3Z=g>c@kR8`b z?@|Y7pf3jykl2b;_+UZ}xko~*7)V}U`S$seLK|rHZDYlW`BnN$IP78o^H}aG*Ot7@ z%*nCq0gv0hdQYdvB8fK@uHxOjrg3Ff)qW?;l|0BjQlv^y+0Dqi`Okb(-#+q8#^KWX zwC?2X^Mt~tJZ|f4&bqSp0m~Xm$$=v?67UiK{a*fpPy3XenP&Rv-->4|duBf6(7V&% z+GlX4MfsCo`b>)FttuDP)|8a?m$M4kB#9AvUH#Vl2jqtp3a(reg^QVBrw21eg%T^O zarBpsPeU9khiALe1~HZ9HF6&Q*Iw_z3aU(aGpZ8X_|xQZqxr-WK1avzKTIAg5LDS( zwG&hBn!I1`?HZ?Uk>B$8$G5ww9ZXHlon9yciFw3lmz4@D77yer2VIS86)`C{E~DnL{&1o*!3D5s`M6Ra z3fzFJn*Qhs{f2IpC&i{id9A)VjiN+cCp}E4IJ-V>f>IY}Zp2ix3_Hq=$CywQMP-tm zY_6RaNBL37FWUy>jdJ`^dHu=AqC$CVV*6k*7>~q<&9L>2hn8&VXr}n2RKI9(k9L*E7Cky@=C?E z^;e=Ib$O^4_Ox76DsL`+Kg%Bm=~ZK==yE`xO-j@hm=AyC=b1h;-KHHsyte*o8hbI> z@}=9P)#yay)i!a($$Vci7g3e1cjLc|Jo58Phisjj!84>X@U+HlLvSU){YmEe|vRb6{r}+$pcJJ;f{h5T6ESs$Ty3 zbiMpu%{VIq6aUrsuiVr!Z$B?z5+mMbcDX!F*_NHmWoJfmT+2yjjv)WwBD_p``tBzz=wo8ZWh zY6oiIXX>0#re$XlmC$D1{r$V!!F;;~3_>L{)rKXE8@mts>Bf-4Bg@z`CaA4;>;3%U zzGU?=grLlAasQcoVPlp(^Iv*0FNg4J?@NbxpT1S#K&gxriF$gGDHq-QvkfSiEkVwq zFY!~E>C4R}c!hHxg0m2q;_uPh1g)nB4x*A|h~Kz0**tr8u0gS3_MMYAgD?twUM^q1 zGDjL=%6OI+U+UtP50h#?#-ey{N-o_nf>_3$^0N8d-550XMm|Mzp(I@9cK&viA*KGT zkNCt&q1cKn6?jAt0&;cyq%E97XWm2u^@&h(Ghv9o;O+t%@A&g)YF#8Jq~L%>Hzg^q zv^X9~3Dx;5sGYvkv$_E@sQ3zE^`*CT^x-w!kjpxmmnX~f0p$Viv1Jb51g5mMBk>Zcd?mW z&O}}+zdrwtA|#@ZkWZmo>d?%jXzJ4#&4V%$VV5E2q~Jf6l6i+)t(Z*bS>a-7yP^1C zlMDdI35o2XnV~pyC11CX`$RG2V#_fq=VO*Q3Aif9l#8p8Yg>!somv6{D6h%xqw{)1 zzYqmwlWyG;8ShF4=a-IAl^|p?hOccPX3h0MFhoNorf3K*XpGnbhvu=I{p|6n>cISr z0P;1DBF2wfhO4JemRAKlb7Gd_fIGPj8$G}cFM<9oC6njuf-08pGg34EeB@P8NN)$MaT#qpz$STx zd$5pT+ErEe2;$^5}D zEi^~5CFrMEOp(BhhVfq{{?wPzRI8XH0hmb zHb6Z$KG7XK>km6tRAHMckEmFk4Y^9?OxiLY~T^69<)P zV|9)C6+G*UpRqMzD>lr4h7?KH z?1RAlOm%P$@Ys=20U{uR#sYr9kd*|ufNtP=szita3_s(-0e_IP5zE&FAp#wTU>uT| z-B5@>LlWc&46>ebk!j{GxXBtkvDv4{n2wYQjpQY=30~!hVtr#=1$H|L)Yz{Q1c4|E z{9Zm1hUrmYSo|p5I?wY67z7;Ugevg-aRHb{?*s?hss|n=vj;*DJ5vx441bxBbq^ck zF|QVrshHITCdmFjm!g6&vy44=f~G1>1e|ayc-Fh+C>%1vGRJCKKB$V=d4D6?eqXXv z55*`!R0TyI*jZKqPRVL!$-U`@DK76PII zf;Z4qHSaF`&3t+e*8e7IOf~o#$)eFlKNK@Qz))yI!|ScAS(iuS93tx+af3@2;{q<& z2&xEss6PC`qa^sT$}X!*NF_yN5jVA_KF@kCZV)V8BuYZnt5bH-!}wRP3^ zHNW0!!W6}3{D^PBMPnDzENJHCCDZV_UQzk5UCNa7PYvJv@>uoQwTEKLFD3>bFH7dw zVUNoCvZ2?mi%e5}%9T1zow4+w<56qLJGF#T?mi~&?sS!ZJC@C)=+(w5)B9+f@-e@o z9R_P<0ma_?{mjbekFYgaY}p$C4A^J<$AEDp6PRE~{&Av|AA63O85!W1Y?P!kb z4Br>-YP&=?Wy6MXtB4=x3t{e zw{R@WbHk!-s!X2eZZbi}_%;!w5~GK*cd8SkYwdtz0{0q%ATt2FANlxK0AYOZF|yGu z<}e6mgk#0^z(EGK0e~TxU}mri8U-SHiwDzjarZyb3c@AzB2(2UAjfx3Oo$WSjA7fK z@cR?JwY<=fA?LqWF}8weF+rA9<5<5ixbZDn z$2=kp4qML)-610vIF%{&6hFsyr6^XOe*F(I9OOkTes*G-*?y%6l#$3q1YiZA!i1in zKn#NyfMw;PSOdW(jA(z3>rzE1N3)t1iaqT5izzvV2bgHsRpJ(WM)NG5tk%|GL|CLF?)Vf&RY)10PhC4{dDjX>ZH= zGWst9{BuB1v;4hFz6aRl2+VkX=ar)01oary2_--*cNm8E&=Z*r3OK*Pc7Jr(A_$QT z*bOZJ=UxX+bgY^cNK4jY%I$~0w>tvM-HZJng@89rC&4X`g{*y8U+(&gB_#t^4?tOV zY>&cwpJWg^kcP#&ZXW6m`^w8Oh`r+Te>3CXM*vwM*A1+xgTFa37A_1+yTsi22X;=H zwJ(a<1Xo4j9NL?F{;z5<(2$ByInGEFV9CsBu;ewOHaPDPx*KE(7K4o>53X*3W1g%1 zKO6&KP+Y$WgPPN0;qtItvh}gQa*ZPcYri%Fz;r$OFK6>$YV~c|lu_~z8GkQoM%3P7 zfm2WMK+Vt5>;we}hLHbQ*n??LzWatbWA1GG|1{_SnBs{MFvU$-Sg_hZr2hqjzZd;| zy07q)e$fC;$KY>X$^MHe#5SX=ij$Epk^HR;1H|u?heAgh5#L%TpRhx5+TKW7ypU_V<~c$0n+w?@E9e0pcf+H9i3V1<(8BXU3!C&viLF2(bQ4;U1{>kCpP}Injc!dk&N2q z#w6RW+Z{}UkWZ@`Gm1;CO+G$GeqxBUEj;|k#)wjH{b2m-+s~_61C7xZzX%3!#c^kj z%k0|V=;q*PhsMHJh2G*H0D%9gAg$l;W`Re0UptmpXeA0iiBv0?E@rvZEi6oM=vb zXZV*Q8L#-#fxf%`!!a4zNf}zi+ggBe4dHLxdtXu5-F8!WW=KQt*1(@!zWgD{IzMM{ z9kLZX5jCF?xcZb3cMO^NG?q0mJs9%<)jdETZXqfAxdamHh3Cc_}a@`jsGEQtJ>}sP9WS9Kj6X0kbOzY!o(&>;IdTOv$)2|fl zozGVauxbuM#=ayUK5k9XWRF=7z})Xq$f%GwZw?Lxptw&ya4On+Di|;7APXB}TX|V? zz+TZV`zOCR=IJP!w%{p>(XFX!@@rci6dGhVV6+S%_*&1gz!eQUEYsbLqgbD>xdTTz zm=aYDw&J|S_~ejk+eaGE>tFruEmLf8MaIC;8;wSvu`a4hUY*IbVu$r`>KtJWN?)zJ z>BHu}o8tG0(Bdd@Vga6=B^Lhha+Q!bJw^->-=<#jzSD}2ya`qvR?IgA&PnXemZh548H%Ui$%yLX)_Pi8Q zx#@Qo-?zBvUK%X6fq_n2@=+Ii%V&DTX(aLO$U!j}f~Z#5o{<`0Ze$1KtHrTCpBK1c zq!>_yXMTNaRv5{}^wo(eciYFV)v4S<(dj1PXcd41z-}g!3V~+&x&nY~TnnqwF~F^b z!clsZfE$TgL#-ZJJPeQuLj3f?`Mc!D^J=PvuotCZ2ugr3()O9;vq@uNuph|&ea0Pp zUGmYp{QNx*#QQQG9pq1RJC=G=x!~z$PuN;=>xXGbpE3Jc0J98kXd10E3g0K2@iR(G z6zJrnS=;EvmKH#GZIicV!#33+KVAGuf`F`(VNj^9n&q;fTVmD_^Rgn zISg_ceHVmQQ4`9n9FQaEPj9FxI_A33ceN)**$cx{y7^N+$rEMb>`AH{!I&~hxMGtx z*_<*MKF}(pvT->_$5SZsUhe(HlvplhM;SF1w8qCrh!EHfZYJ&>ebFqo zX!~}kAVqd-ekfigxPy+>XGdC-&LLIVC?c*|Ac&) zS)`4hGasnqL5sYor+6cmEU>@Tr$vM5D7ir_`}Ms4^A0eA**M0!4vVA%`AGr(femC{ zPtbb8JoWf%Wh-q}H;kL###w;~Ek&DL^2q*Lh1Od@gl3)*2Na?w1DlW!oPN#nq?qs2 z1NUU9C${-)*NqM=t-drq_8w$=XQf}7-p+sUJjuHjM6a|RMYQe<_vIw9I;&J=cV;*Q zf`E9ZB#DFMu&aBp`DBSk)FgrR4frHEsAt%BcAM(hrOX*WE;ya`HkAPJ%4wa1acrU> z?qF6ye5cA_o-!Cf_F)@0FEBzhjwq0k%9ry?{rw$2D|Fx(hF!}Gzg zXG;%~@?pjyq1xxtg&@phad=@ao{;t&uKSsVzNpx9t8Bjjrk-4eL?HhW)4tH zGdil1YK8K8g{2Nlb8<(1t@$@qK=x>L<}m2l*_~x@@3buCBGaE`TQ}Zj zpfj-eH#!Nyo4SK}Q6bNVi>C}^2!`#uCaNC^S$nZkH~DNT^&L-9v-Qxy&7I!yLM2*V zIHV0bkoJnDTNm;XK^W1N-+HQa?CSrptDjnSWYfbO(5Z6OgB7Zk{G|vC9e4+HV<$hW zE+V8oUS3+c?KJnw0xC{1YhT_D{hofEB5~HTUxEOc;^X_Yi181Ry1mF)W|Uwp z8h8T)$yP4;K$_jIc{}NC$NYiEpZhmE zjb8?1H1-={1Dj-KGV_B&x~{V|gPXjZ^P;`pEVWppST_W@qF3*P9qPP$+De{Jx5W}|C=Vz&P`4PCtx z%?3dofI|;2*kxt@(JtIBY|ie+v9WscvBBBk3q8)gniHNw-nOe+3mkAs5eA3kYGBIzlKn7&445-& zrv0;?b5*5@?~U5qsY~*LS1&Q@IKcPUik|JF_H|(!?HeXkJ0kA@*#m!j4XC22N-YvsZ9$0A1i|GJflW~nC*9L zuis-D0>;e|o6s`h)jj?NrS~SQ7Ks3ZbA}jm_zylHNtMB{o_tVdgYEC(Z%R|F&+=jd z?>*bhjHzJVPR&{x%pMMg^nbepSW-hiX@3wV%MJ12#b!JqHZaEU3(vG?S}^1viz3ol zzx*uaZ7G#)F7;4I7%IeGn3@ZJQ611$CujeHzJkhhiZ)Y4Tm;_}V?bUx)amSHLF@M( zoQrjBKCQ2#zLF#5{1+T-`?oXP0KP5;QHv2wq4!dIem;qA&+WP1o-sD}iOsaM<+z~W zM#s~r&lE@~Tc%27escQk)1Itc!UczaW@vZqG|b)4E?KLtPV+@??-yXJX-2L272av{ za5U%`EN0ID@n%`kmjjiJeI*@Mnuv?K;8Z~E#|lYVg4W~4g>T)ka+ij>cDz@%NC_KO zw8jI;j7YwA1h&1#XQ9nzF51Ca$oiJ*z2da51-MqAL-Se0FYUaT&O=Y#UY^L%p<9}I z&PY6Z)NI3#wjlM~^PD?&)RdTgPa^CwJrUy^BFNr5=Tbv!?<1*>9TNQA_ub{6yOxho zQGMrn#NRe_#dPk(QBQ1&^<~v}&+o(R&ma(vjB+DM6Jz=bI&2o=%+oQWiNG-+30UL# q?@0SANCqFv{acU=1<29)eYXiUz54d36MPpCazXtPBLAHA{r>~|GQRu( literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/images/VerticalDist.png b/src/SketchPlugin/doc/images/VerticalDist.png new file mode 100644 index 0000000000000000000000000000000000000000..fcea0d2f7e904f406eee78e079715d8f895a6010 GIT binary patch literal 17901 zcmZ|11z1#F*EbG=z<>x0pfn5&N_R-Z5E7Ci4N5micgaY{&>#X1Qc6inD=pm}(%s$P zLGS1Op6`AC|LcN_Gw1BR&)RFR^;K|#Tlla*3KLAl3*f`TfHbq}~> z!|d}N_=D=C_8f*%)Jw4rd_XssP?SJHDT&6pGQ1Cb2ECQlc0xgU*nIbk+HRj`0^B5Z zmez89X>aE2X6R^&^48GO&Y8{5)R~5djf0JgbDzdC0R=@`UrtIw-Q8e24dhKMT~oE_ zGJ2`sPP*FLN=(`Qo>qiG(zb3 z#5|wy#ZBgfA8==0*U!At*Uzo#``~optaN+Zxcd0(+}ENV!VjKm^Y~mCcvRll68Pv6 zY6P-az+X9S8VGtxCIoB2&KblC!>10uY{$Aa4xkCqod;iFNO+Sz2koPwOT<#kV(q&C zW94jVAT47cIwb3RG8CE#aac$(8VD7kLj%cz(>FhwCD3e=wK(LzdzPgGQ>ald7&irp zi6c7ZS7MrkY)VcinGyIXU+4?q?;a4Ov9;teY-c|BELo{U@{7Xu5oK=J0a(`aJ=KF* zu1pFh&U89aal3GLDOfSP(xcAzD0^h{Zm>Zv>g6!%e3JP614zaDU<$&`3Iv$Sj6k5E z!&CBoq{%!z<7`ZSOJpb}_}=W(rmDpP7hmTm_9S*3Mnn+=`dsS>Z^&_lrE%IX>z{O4 zLy?9u6nH(EE=9g1&L)(3ZrSZT+Wlz^Ly%d#+wItog0}{;X}oj=t}m3|rcZGHR&;3+9?) z#k0L%dp-$4JC3C9Tu*=JEgsviQN;4P;cA#ztb2CPm=kwDC!S5e2H{&iQ5HT~m7$uA zEiz?0S?;l%pqbZ;!LTaxD@!hpr|{Mv6;04(W4P2*A-n4AL0WYM?ES6J!Y^y`27KpW z=i38A_e=z$!9by_q9Hj|HPMSMs4R>BiR_}~qfvz~$thp(RFsmQYVz@qe#vaE(@3J9 z&mp$8bi6+97y}0qWMrR#J!Qk5#bZiI@_;)#JJpy7KrlKWi8E-TsP)r(U4Hqu z4tqyEBO8_O-#sH|>0}f?G=A&L;~cRPyH+{w7Nz!E~$Cn(Y}ke&?<4dD`5S9XeE{X<*zMod}Gcx7!tCH_PmP+JNM( z)$VK2;mad zAS>Tct2v_2=*~aSz_IlkHMpwz=8!F)jiERru74zeO!ccCJG&ti9TG7S(nJNrywf6AF` z8olZ#ntBr3=BbUNJ{@|%5E4>C{#p0M*?Q8hB=ZNPu?`caN!RCner&J4s;>`bqoH2- zTrH-#%}!Jv;(K@(>QpQ>bG&%%u~gdS>vrUhGl&{j?y)A2TCtxoOsKfm~@BA^fO!-P_Ym(M=E)U2n&<8T&&$B0G& zqJzAnrFLD<&^!)(%Kw-W+D%+%ah&eM?6zCD-(4m!(>|^o8nTtJcgTPHeAh^x;lpKg zbaiT9wC>)vz2D`O$n}L{zNWp^d+hQKvr6yl9*MFqO7)#*3 zN2DG%XHY3U-}B`(A@i$sWxuaF`3_}f#dhOwi$00h?$m7hp1&79(k)e=c_P3QaYR@j z5c0&+ZUxp(nYtzS=-biV7Z{>2FYxvpEk*+TDS zKJ(&diIYyrk&WP#+ZuAa?4J(1Od;?&znjVo-3lw|hskB@6u9Tyl> z(NDyS&bm(7_K9BFe3pr{8qRa*Vy$|?JI9{ey@-YTIc2KCdaOX3+w}tT&%N~2S^oD~F;U8>}uOXH904UWk z0Ts@Dk(PS=;`VgSIQ$W-!R~am-|dZVm0h-Eds_cYf;C^ChbF){RhZO7sc906cB!+a zjSyrRW@s@AsoKY})!dm?=;Gg|vN%sKb#6VoA1;<$#Ze}m@2O3husL6Ul%aC4veEPlza%{_!}-|iRBW>%J#L4A!2ze0$g{Ufjr)Y`)klL4 zI1R7<2+)9&+xwJ8`N&m^y_QyKBkuy}-i>|zv4+l9y!z{t$&>9y%Q@fo`>xNp@IBVH z3Bo<2^(Cmg5}2!UeXs4mzDjjJojKg8bJ9CM;0Y4Wda;bPZE4|DwpzW~vc70v8>#dZ zH1Gi3=O@JO_vXoVk|>~HrTn(cFQu1a#jf__lzU^m9*{ihkEqxHFh|Y2_BAg}<8*L_N1> zL^bvEZ(mB8zK!nyZ%msX*b?tLe!N4J!kIh~-1L%-JQ8YV=Ud{gB;}6lvQxM1&GG%f z8kfiX)+dI|LC&(Z)Q)}09&`P!aM47jR~y${rZunquJcVhznzwqoOUt36yP!*DG6t* zvFPvNcjH#_n-xxbbSkHC0w`;&s9N^gh~_%R3csyjjuNF{_s+97zn5G9sj1%TyXChW z{8A@uJIP}^2(|4_K19RV+8wnel(RVAcyIx5y>HZZ;YurLzD;*${Co9{6Ry1{;2~wo z^2;H&AN~r0N|k%~U{wcOq#v?*HGU*v@rUEL$iD#778mGNMNJ>Z#b}p_rE6w+tsVEK z5rb4a#9QN4M!vq1lh_=MRD~Wb#VLnX!j8Zj<4!zH=!>yU~5&*>hEks16Jd#T~= z?W!d9T``{v@}V4;G~RqKU*DZDVl<6HvO34*-`NV6K35LPOr7TI;8J~s`H`yjQsS$h z@(C8anx5ex59##A4Z`D$g1ME6mEwVenWfgMHR~n7T`AubjDT}GIK?`-BCXJ%=4fKeX1n$AmVRsbi)~@?1UjBkB29>fs;y+&ov_r$4X~JDqm&xokj;SU!u4QI2Ln zj(I8973x((NkeFgL(cO>_gQ=}(z|vpRz6Kgn%iZwXRL3UUOZ#-!}Hk|v)@#9kOdq~ zbFnoE#-OKuQ+?f%j)ZBY|8)h}xFw!?W%fMSw}O-0r#2e=H7kjX6`7a!S{O{CPG zUmYbppDeeOoT79U;lFMbF+GzRcxXLtbRuR+k%Y78z+#^k3_p)XwR94@jduDa@AiJU=3rPw>;o`*xCI z-XY#nBomn4t~JnO2UN-OPOV|9U#0tNk9XG!cHTqIzKl>E-aR7cXO`cTtAcwbg?~d zo?ES9WU?bH<>dZ6qW*sK z_xp2T&DTB^*XFe`VjYAw{%3BmPT{D2jsulkrL?sIz>p2RlxQ4ym9O#Y4}bK6A5vOC zFM(0&14EUEh)sJYxAknl^TUkd$!hM;dex2q2UOcyI-hU$4YHY=Bru&C_?{X zlw7CMP1T7m1+;I(1Si8wyApl!Jk1>3U{96ODa6cu52@;@?XLU2+8S7nl+6uawbtQD zfa3|DD%UkKxScJCK98oH&o)|J`V|)(O|gurmKoDOSzI3Spl8a=#@(Ihl%qrZXjyDK zU|h2kaNbqG7eMHt5I*pMm(CJzm?KwAAjjo2w0y?HZAFty9m&?7hH!+SvUZl4^~WC z;`yu<+_2$STEv#&ngb>mf-Z^EzcpcxOFlYZSoNX)VD{eE@!J%pR~X$B*0(=% z=IN!1<3}BIey$Q@`9ySOLuhct4SNJTil^A<7yd$EU+nO42SF4zRUmp^*NVPoj zO~Cc)Y!f%tvTE9~$Y#Qi$EwKPqU(gRc*Oc>h}G0mJi0rl)0cq>1HpUN?O$QY&Tr#Gp66qslhFqlmfouwQ#NFF4R zdUHHG9Lf56XKW$E=OXGgukncLjCiFX8~%{(6tbsNef`>T0g$fu8^ie#(ZnAN-|fFF zU;dW%Xe3;$QK*h{@AK5IPsE7`lxh-B>OJIa-s0BSCg(E>$hHt5=n%`j4p1TFmJ&yHX7=E#_E#XdDRT; zhObt2?Ml2X4lDa!^W#cU3-MU_9xTa?w#G7m2)ZS=<`(X6d)d%6fm5Pg_m`Qb{=l-f&oVK+x?uQyAfE??mX_Za##dHMF z;jiX%n5D0GIBYr#adisDzoigAE->(N8&!ZJN734xsrONtX=SHV${ajv>2W9c@^10~ zz!2&dqBY$PQnVlbr7m1=iO3RO*|3MM>jj ziC;6TFi)W)1f@;}9mINJGvoErtbyyTR@Ho>O${!!Zq=a>6q#$V&Fgydv@VRsuit32HPwIBQ zO%=A%sM=>FJ%ch&i+W~B6vToZ+l;IhWk*9+2f$BMJwnTcS`X+1K z&SDk$M{EVn&zWWeXbfNa*}SS8`}M)V=~`*C+1dMQHCCRU7B%;SFh<)Y-#)o<$dpYq; z#c+p;Ip!^;98!((vR~h=yiOjMdLH7X8y&NyP9CLQ~KK4SMsjz|z>^uDq151Gn8V9yJVDyf|)t z?w4HWIV`4W%E`@3alE!q*nYl7Qf7J?rL^KTZ-EEB@$I;2uq7y#!$O$@2f~?nZMjY6 zFQ|qNGS<(YutQ{rwGWrW9&uZ96^Th;Yoi@ez@;$PlqxEDU0~OxD&`_YMBv2l&_1v;Y>`xyR7<2)P7-O)xZ&vUY zCI^$?wu>~}2Gh<`DnwC=r4P|4aN=*O2_N-6venjyGJ&@HQBZz8!2n$sx;AeJ*b83_ zZdUPkuF#{0iWDo^k8B@4>=rNf9W@$`MXjT2+Y)mB=C*CVjbRicGF)vq8anQ0^zPvK zeH6q4BSe2sA5U6?<26 ztwe=0*RYJLPb5RkkAOWT0FJVU#VH#y?PMSR(2d_(b0y_jV_-B5%kwBos`$CX9y}p$ zw>Nm~t>lw#DRu@i(~}K`e`fk`w?CiVX-&mpBZ|=sx(~4^uD%`EFW1!4c;y z;Avb^f+3VS`f;XW=WCra@{mgx2!vL_!ZP5FZdKWkQHjc7Qj}WIvUA;S4b{qUf7->mkqh2{Dl%5ldqbYrnvah zTYpl0b4X6@)U)3G(6{5F5Y3p3ZWI?Cuhfih<$-VHD90g-aqn*hbV@n%WXX}*?2Zgv zmzqzqL;FfD-;QcJ$LbzWKg)L)9?WiAc7IkdW)JBO%%mZ(4aCWmE2`Pwin16bs`>IyQ=nze2bY~500BJ!w9?;k34I_G#~{Eg&E+jw6r=J;korZ?46b$sm%5#!$EqfG%};hH)5>@NQPiX+Vn2k zuoF&s`YYMxLz=4V$9K{b3Weyzw#4=(J@p}esIwwDa*o}OcQlT@QZ4fUQ^2n*WaB_~ zV1@2T=Fx6^J820v#B3DD@yti%$Z*)Cc`NC6Sw|9{p=H{G01eS3$A; zW_Jjn#tK2-S2F>zP8R$}PybcP|7hXAIu^!)e4l!^;{R5-yE6E_|11u8&u`-Xg9^Z# zWd35!e~tJTDmuWOb9r}Q6B@5#jvmT|D`o>79E$wyqRrB9fzf2K&zs&P7;kL-IYvZA%njjT)4f@qSoUFmfTY__ zJgt3R%`np-xSlOH|7E;oq0oTwET7o$b2tU{)t5sF8i)$*8$Ugt`0)lxb9V_xko}6O zoFFHY@C8X({PQ5B;nm|=Iab$1-#3cw%B9K{E%AuzlknwXEkhScEjx}&t)yv=M00EU z(dceTL3Rr&#w}-$Z$4B$zPSp)VO-+RI(%I&%P%;}TI_V4_tn<+MHLFb7bf)>{+H7Z z?Q@#jSZBfRt}rwGu~7VAs#@;h{RGEVS>lcCp>6JP*Zy@4INaSL+mwk*WBiR!2j_-S zz$4s8=ZJ~~RF)Gq(`1q#?44lO3B2?GFUgd|R?$M?7v;+s)W5*Ov*w}NuuUG6>E}uP ztEjgsfcncam7Zd}ihTkRX&baH_;y~tSIRr*@H64DMYX2rl5I&DqN#=gBeeuCB>B_N z1)8Ig^8kWs+R2lkkotvGao8s*6wrQNeq$U{sryjDVDUj)2yOK0oXJpjE5`o3O@y#o z`8gJ^9;`e)3>QOJw}*ICuW?;-hmM)2%`tx1I-R-dm|zh9x)8NMfoe-QdMH~`I$hCf z^N>liN_o@0$oC03v1?u5Jx~A`720CV7|>dT-GDhrXH{T=JHSe7w9&#uMts?=rkmCu zQccLEVM%3dTA`ukvp^xg*xWphn2qby=(J4GmU7uh7?-`f`L1TW#5oxzT zkQ}-2wNj`Q^D{qQ(hGU2o_ADM5%optnJL1K2W5dz=gS7!uUtsjTQ)*y_h+<{%N9~O zq(fi9JeYY=rh}p7&I&)o;PEPn+39r!1;V59Cg57aFdF2QjZ^FB)Wo@JVLGyHd^6M_ z+)`AIOE@Urh8+&R9wGF}2+iOCyv(~pUij7dEhYGo=OWHqOY8WgR^~PfYk!9#NRXZr zdPXl9VO{eern%|;v|VAtfadbiXRT7P@bP!HG6m_w&514zdoQ(u9Sfq3e^GtT5b6*f zU41ob?T|)FHW9P>!`f&rs|}&F&6E#gpn<96x#A} z=fGbChuqg=DX4?8?i484k>nRXODL?rLiBP!wy-8O3qCQ7(gYEk`g<^4lO4erqF$El zABd=I(H*0j$z^G!-7y@p1s)HP#1)2M7ZFJz0ilHCPe!b6OYKCd-VQ4c>Wp z52=1ko?u(QeD@HysvAVb^2GTgbj_I=1{1acnd30qlgr`W=F0EWdX*cxt zeRQ}H1xk+-Xbp3R5G}*nhCSoGJ~0Hxe+rvV%MQhTQ;K$M;N{bwq8(70f=Z6n3Lpv1GsffKi4xHGt5`x(u`w>=Qq zmU|B4lL0y0l0rl2W#LO631V3WEm($?uXqxo5K9dU+VV2guUVmB_aa?(I6@^@uLNW7 zq4tAOXkAuxOa%mQZ8faq zvB%Up6LR5g&47vlmi0I7?3YJmKtmE0rX>Q(fz0WhT)ovpFw3LoSxDv>e0}IX%r{Pc(~I9@0@e z=GC;H4B8XbW%n|M^*gd;D1?_XFg0rh;*}K{MGMPo{TMY)W8aD6J#uxe^u&`X&}^aY zd+KaN9EqJOl`e0UPw4p!fuKVm#{A)GMB1#O;f%;wN}aX1iW$U6><*wo+@d2_C~~r2 z{D)y+ojUs8)HKLp85@16EhX8^KpF_W4b+-hL9*r^$OBiFp)i&TKt%{(eVBQ~J}Go) zpfgG(^{@E*0VTCYAS8dDyo`@K{uXbO~ zVm{{&B`xv*CR$o5Bj7gmH1q(Zll(Ub#wN!BeGg(D8+)NI#XdgsS!-F}jozd{r?LEI z9zn(DxwQ84b9*#Z69=G-0A|J#n|-2tsx&aD;=FY<`#HCfciaix-{fa{$!=8otlJAW zu!!#weh}buGIsHZ{dkZ?B!LY9v5o`pWRsKS!)L{gn;_)8s{1J~PU z>#0A+^#fFe(C8Yt#y#xLG*^R2AKa&58$Xo914}3qiD(}A4;ahfk&8HnpQN*%8Jyz# z@ub}YWfQt?#$(}0z(BpBzeVs#LvGc5&>cjUAf8y~pVx_+CG=o%Nx=K%WEpc%m&mhW z->wmAM`Tds6)x&6LJt%Z5S1o;+`RwtGJ4$)ul(jmigvAER>hCAxG0)dY`>1oha&Ff z)S1BuY9Z-#ZEZ5~U$71t0zBvvZ!8<~^HZQ0jBMmz@1pMYuDsn^vcHGGk45yO@mY_u zoMgSnBbULWy5oOorg2L*k*OsLJ&rc)lFK>1#ykKHCf%?9es@ay%_+*^y-`#5L6A_8 z$QzTSI8`axwLpDTPpnAuAps+^&Mf*5)NkgZ6zr4XSf2Gz$oaAter*5U7JjG0Ma7)W zqz5KAg&+_pClWJa%Tf3lDJf|ky|k>wQ7?)Jybb8F;pCRqCL1Ky`J5o{Nz)}GE1TB= zMCGcvG*xQZ)AxLTQ$UdR(do0kYu%+j=FffqCB;~+L!9MmJOa@lQhe_SpR6y(>CaAN zH3wh8;HB$~j8yO7?$Dp`Z;JPz@fkgjBO@ZVyam}PoTkU~)7#_*QatP^(<>UERbO;raK`j`%hpfyA!u%CFXkRM`M4w7R zUk-?6_GNF>xJPfSif<(&s?Nc-LTzc(rq1uh9?^@0R|TRosIfW>mGbfCDec4U_V94I z8rBM<3`{wlHB`Dy%?%5 zU{@;WPb6mKmLo@43nQ}i12vH7X3h~Q4R$7;z{tYahi#(WK#t}>%ciC#%W{qKhS>;k zY45X=<(b-@?XtG`{@8j~&;VGeRL%QycvI!aF5b#L1;KeMWVA+vXuEt%Sr)@6=qd8s zAx-GRJK1263|#+7elsHQAK?fq<;h~ciN|kF1x`W=ZuJ5)^cq)st(^_xF)n?Zu%Dk8 z*DM$EKGELNa;$Z!JZRH};0Ye*i?x=5J-H?gSUNpS|7R}}bskD&MFrhQK8@OHntM;l z2b{(g3j}t8c&?5P(jI8UOEMRf)eN^J*B0CQtoraP`TgKVLhSvWXRQx91&f1H@Qp}MMNIb@y@K@wLg|nEWAv4h=U;zJ1`ZhpRCrM z59BOnFZ}(moEhK+FGyJO1W)`FDnox@2Pl7ar_=vl3olSCK5rA0EivoZzwy3 zgrqPMKC=8{;Ma830de^5&xUkCy~Q_xwMLGELaCHo z<5Z@WvYIpyp)bey(D)7nd>oHs#_Qg!%BrSwf zdkMH-wy_WXF_tK>6MW>+6XMwZrNuLWp8|DYQ; zj$hWl@HPl|B@Y;ZOmBS0w_Av;a5>`q*d2yZMg4tU%>E{X{o9SgQ z+l-s*ui3J2T#F2JaZbZNu!#DR#s7!30Wu*DIJ{!wU^S*61QU|*!BlZsn9~@>RUkPy zGvvA-wOLXK@4xIFsSX*|1(?_$y(yj9^T!(J=io>x0nfd){m;Q1FK8h6RF47ar)M@2 zlfwDgV0@8U*(mbWFEA<*<2?*z0p@|g`gf8U&4m%e-IN#;FD$UU##*1KU)i+7wc=FE zY5VbRWdGo&Z?_~U`=5km(M8@IC6}y5QVDxrtwA0D_Ek#m0C*4Je$Xkb1>XNaO#@;i z#s@GYzUVsy)T2%KuY*v~K_wp&_D`gRPYpW4|HsiN!QuI{YZ_4#JOX+9qd##L5I83j z%>ngxAe@r`caB~8vq5wTh%hH4Yr<^Mn*QVPND);1UD5;;`D^U2yCsEmmtDubFSoYH z`#0tT0x9T6EdZAPI!RE1`rtogyo2(*{(Fvy2PSyyOr^MQ*1#7mfTIRvo`7`jk@r8o z6BDokV*U+1uUL=~NV5UIo;xmS5ik>(E&(q((}q3$96}3OE=zi_^L=r* zG&lrL6LXLxS}yM&gXvFW_q;$QJ|@G$_lE?m+B7e1wtthp_G1X`SGY~a54a@w;_WMc ztOJCqoI)5ory_Q4D&2qIf&7|vlGeFoSo-^6}Z#w{ERY%#viva-NN(X?R^M;fYwP^sXn)A(11 zr7aKTb~AGX-?^2b<#RJfyO45!Q~9;AYOYXLe-L&EZCk2dVKFj5C%9Yk&ShSJBJ&SC z6owj}i637kW~>IY$fz#(3*5YL?da@{ur$~D^7gz6!le3~4MF8yAhLZjd%JdUtXugm4SE zujO|hJ#(8zTM2ld1=K1y4_)H8hDvvk{!zT=Az$doE26iplm%;aC%r^y<=~arp1tX%H#}N<3vj0^O3uNn1^~j0l1q>M1eegYR$>`bj`bV>RSH z!Gn4RuKb&o@_y3S8D1+!(33=cyN7H%eG$#$ztw6K#c9StOJr0mB^uti-SeO}Q?H!I ztSv9^kEl@KJR}XN%3=VkiF*C=hsIZN0Y$E0tn9I_7CNNSjlgrn(tU7P!XDsmYu+9E znb>{o)L1~cVG=Hd@)Cd1_GPp-a(KD?!uu|Z6~XjN>)`{?&A-_CzDPD8$c7c#yqVS*3t{fa|qZN=B*?)_GL0yzKf zzaC_d`OqNY013PXN&PlGcx&KNV#0S?D}M)VfOQCD`4-+YyPN{i&LdfdQFlF@T>0e6r3_^>`u5caR;#ZO4QX2_O*0fXtwQJOThIGNk0Ry(bbMK^{de%K)TI+kshai(t=P zy1%EgPhef67EOK-X%TKY;VU~FF4<)N{TTwD!-{tn45mS5&eVD^+tjfmSucI3^@vo$ zdbh-?On0>_v<9K&xB0~<+cRBwAAxj809=8A6sHD(%e$09NDrYUt)ip`*!P_giKbh5 zU<4Dc`N#bsu3gNbJ{C;G?pgxtYFBsPgquFAC0la~kS&D&kxqJypEw%QU7C z1V7ml;PN;)-x%?$KAA*I?MPh_p=V!u6aBWI6i_sh|*-PJ5!q5Qf330`SG<(Bs{x-433|ByHP%fX^CZ`cK1 zG*~cP>Ad==LQJFZq`6C9bgq2r5-eEmz8~F_`kFy=XLYl9tmylK%~WL_ofHiuTAWIr z#)wyQqgA5Y^0^1AL);!{5fBH8Bzot!*B3Z`w?c(Jf=RD^kuOqi)xSE^^1IzeQF_CH z#)yy;&c`>NB8}Tdhlj6=#csTr?d3x%g>q|Luh&ne{McT~Oz*wdwF5QcW&*++C^{@o z5i+9p?zh1+m^6s;$rqFIiyKrbx6Z9bqLh+V z%FXd2_otOCZmVbFRzKSGA6;#`#R`2nDE8VBo2>DPzuKI|Jn~>`yt<-HP~z!k`gj3S zTw??g`&=KF7&hPNS96`q)E>xR+tII8MWlhB01EL&7dKn$MQq6@oVgIop+RwUK-A=7 z`<1I^9)-Ows*%@TL?4#s!{)JcY>>efJo-HcBHj|H-mT{}p^NQL+*JzqB&pmIkqlHG zvHot~Z*e|X?$#ZeIvv_GQ!;B(mWHbTXa@L}0TiIRt!lQM)g56 z+1gw1?^$sH^#E}SkoGj7DBztLT~-8i6cA0Q91L@;7_U{Cn28~uWdHE>t-RMKYTfcP z-(`8hnXcU^1)}r|*Xe*ra^=PgrtO!04d-Sjv8KLXwMy1DizR=W9e#&n^a3l#A=Fr& z7%6IQq_@80e*ykb`bP}sK-;$qII)phGrrX)Iu~SDuU$4Mdb{H0%5g#T&;bS6rT%yK z19BAEr#+c{w0{@~Asaajr}#u^0Rdx20THCv7y=rrm)QSnXXdz(u;xHsXYA(s2U>gZ z1IEdU@KZSe<6@sdThz+U`vyRSy_)$luQ{(Ak4KI!r7xkgk7*GqorW0l--CrKa-Ij~ z+K~YA$xkme_33p{?N08&vU20iMSx-|pp6I>81P*rsgvDt2U`A9F}IoHF5WTt+ye<1 z<5M{>t#Cy_aJzLCHb^=u70krMB3$mwD24^V352l$F7>cTziU>6nh8Kce___`82T({ zV#1PAW*5AL3f&RTs&Q}QnLD+_ zut;vrUYTEG2YL3t;iVo6IKvV z%wjP7j}2mlGzE6mW7^B10LPI;f7H~>+c|7&wTgViUSfz)Ir}yj&QvHkojNkwyHtC8 zy9|VePxTP^^IxRrUh*4b0y4m^V4*mNT9)X$fknRZ0UqR2ZqX5pLl|!D_w;B#Y$S@P z(qfqo)YJbbc;h71QfMsfQWSP1H|(b`fA*Vt@HtGi?LO$*@tC*esFgW~RVPua7l6%O z?5bKCh}(h#=s4|f)>{s2bga3-wiq*og(hdQu;A~v7Y3hx&}fw@Y4n!RcSI`KFKQaQ z(F&+QwuV`Qjk5Yt7X}%tJ%Ly| zpK4)?sZ zIQNHk`##^UkHn<1=h{2#QZgrC4f<%sy%nJbg^fs1AmDB6*nbP20A%t~J05(QmW)0X zR>{5;U0CLvCXKGI$asamKqO&y`#JhlP(1Q+P#O}|HaNr71w7ci;{Ns4Slz#ZbgAs= ztSEyf337wR?mv}}f%=L|BChD)8RbP!Hg7_=kXDipwfM0oI*K%mPb?W;$|6SU}|6KO^+ zGTdjQ?!O(f&ze+xP9?!c!NX5(I5#M)#Rfmi7M1axM@%jWxH4e9!fb^@dF%{-h~0(R z*)4Kw`{|e;9tt9fNTZLZi`7FaoBLt~ESg&$T9Qizt9O$Uf0B9i5(ecH%%ZKB;J+q& z`0T`Hj!qF%TLTAJ3;phBa?s^MD%qny_@NDZu32U$y^Y8JiB4A~$Hz|QNc;4c3zJ$Z znT_z2^s8ML|47h(&r&_4yxxU($jI04|5%K)nF}{Ar*u7up^_f)q|BS!#Y*dHNFB3l zi5`9g&g9!4456MPKMwAp1cydGoQi&+Z65sT*`H)d2iVg*OoNu@GXf=^R(n3lLnVBwmot*XcE>mCDlV>AVQ6wd&gP%Ocq{`l*Xwq# zWePKab~`dYjFKx@IKo7xmUi^X=YFIG&cyJxPx55BB=*by>|07UZyE8@#q*Ztp>@Nd z&t(j%gDek~d)>Uhv7_`OjL++z2m#^wq~1be#Y5`;u_9wT-*1eqeOz;d>&8LtOpxKp z9|fR?!3t-^6m=87iiC9#E|Fi}1bXj9|K4pKc(Y$v`7vg7<5XzUW>0Q_IdAL~Ya+a3 z%T2vVY-p9kGNq zL0nglfLa@1m;dQe{I?-W8z%DPKOKlbkD}sTtLXpJxcJ{KQ#*wJt7VE_7PiRyKl`Yp z6IH7A@7mh#r2S977_i6x+f)VgQ9b)l9~G^_T93p3|Iq*HuJT+&`_H6*+q3@b@y5lU sF37u_)W3IuLnr_mrPz!sZt?JoWbznjMLU51#)2Xztt3?hd-Lx90ISTDU;qFB literal 0 HcmV?d00001 diff --git a/src/SketchPlugin/doc/lengthFeature.rst b/src/SketchPlugin/doc/lengthFeature.rst index 3b5ab8391..f4197f5cb 100644 --- a/src/SketchPlugin/doc/lengthFeature.rst +++ b/src/SketchPlugin/doc/lengthFeature.rst @@ -1,3 +1,10 @@ Length constraint ================= + + +.. image:: images/Length.png + :align: center + +.. centered:: + Create a length constraint diff --git a/src/SketchPlugin/doc/middleFeature.rst b/src/SketchPlugin/doc/middleFeature.rst index 3f7b91f48..075b57a46 100644 --- a/src/SketchPlugin/doc/middleFeature.rst +++ b/src/SketchPlugin/doc/middleFeature.rst @@ -1,3 +1,10 @@ Middle constraint ================= + + +.. image:: images/MiddlePoint.png + :align: center + +.. centered:: + Create a middle constraint diff --git a/src/SketchPlugin/doc/mirrorFeature.rst b/src/SketchPlugin/doc/mirrorFeature.rst index deb91a35c..5910ebaf7 100644 --- a/src/SketchPlugin/doc/mirrorFeature.rst +++ b/src/SketchPlugin/doc/mirrorFeature.rst @@ -1,3 +1,10 @@ Mirror ====== + + +.. image:: images/Mirror.png + :align: center + +.. centered:: + Create a mirror diff --git a/src/SketchPlugin/doc/parallelFeature.rst b/src/SketchPlugin/doc/parallelFeature.rst index 77d0326b3..5886038dd 100644 --- a/src/SketchPlugin/doc/parallelFeature.rst +++ b/src/SketchPlugin/doc/parallelFeature.rst @@ -1,3 +1,10 @@ Parallel constraint =================== + + +.. image:: images/Parallel.png + :align: center + +.. centered:: + Create a parallel constraint diff --git a/src/SketchPlugin/doc/perpendicularFeature.rst b/src/SketchPlugin/doc/perpendicularFeature.rst index 43a955d0f..3c4119688 100644 --- a/src/SketchPlugin/doc/perpendicularFeature.rst +++ b/src/SketchPlugin/doc/perpendicularFeature.rst @@ -1,3 +1,10 @@ Perpendicular constraint ======================== + + +.. image:: images/Perpendicular.png + :align: center + +.. centered:: + Create a perpendicular constraint diff --git a/src/SketchPlugin/doc/radiusFeature.rst b/src/SketchPlugin/doc/radiusFeature.rst index c8a04beb4..6613ad95e 100644 --- a/src/SketchPlugin/doc/radiusFeature.rst +++ b/src/SketchPlugin/doc/radiusFeature.rst @@ -1,3 +1,10 @@ Radius constraint ================= + + +.. image:: images/Radius.png + :align: center + +.. centered:: + Create a radius constraint diff --git a/src/SketchPlugin/doc/rigidFeature.rst b/src/SketchPlugin/doc/rigidFeature.rst index 1fcef1ac0..e920e4785 100644 --- a/src/SketchPlugin/doc/rigidFeature.rst +++ b/src/SketchPlugin/doc/rigidFeature.rst @@ -1,3 +1,10 @@ -Rigid constraint +Fixed constraint ================ + + +.. image:: images/Fixed.png + :align: center + +.. centered:: + Create a fixed constraint diff --git a/src/SketchPlugin/doc/rotationFeature.rst b/src/SketchPlugin/doc/rotationFeature.rst index daaa47ab0..db5b219db 100644 --- a/src/SketchPlugin/doc/rotationFeature.rst +++ b/src/SketchPlugin/doc/rotationFeature.rst @@ -1,3 +1,10 @@ -Rotation -======== +Angular copy +============ + + +.. image:: images/AngularCopy.png + :align: center + +.. centered:: + Create an angular copy diff --git a/src/SketchPlugin/doc/tangentFeature.rst b/src/SketchPlugin/doc/tangentFeature.rst index 2ddc42082..feb048afb 100644 --- a/src/SketchPlugin/doc/tangentFeature.rst +++ b/src/SketchPlugin/doc/tangentFeature.rst @@ -1,3 +1,10 @@ Tangent constraint ================== + + +.. image:: images/Tangent.png + :align: center + +.. centered:: + Create a tangent constraint diff --git a/src/SketchPlugin/doc/translationFeature.rst b/src/SketchPlugin/doc/translationFeature.rst index 730589cc7..90b89ce66 100644 --- a/src/SketchPlugin/doc/translationFeature.rst +++ b/src/SketchPlugin/doc/translationFeature.rst @@ -1,3 +1,10 @@ -Translation +Linear copy =========== + + +.. image:: images/LinearCopy.png + :align: center + +.. centered:: + Create a linear copy diff --git a/src/SketchPlugin/doc/verticalDistFeature.rst b/src/SketchPlugin/doc/verticalDistFeature.rst index 5a98df362..9fb6991b9 100644 --- a/src/SketchPlugin/doc/verticalDistFeature.rst +++ b/src/SketchPlugin/doc/verticalDistFeature.rst @@ -1,3 +1,10 @@ Vertical distance constraint ============================ + + +.. image:: images/VerticalDist.png + :align: center + +.. centered:: + Create a vertical distance constraint diff --git a/src/SketchPlugin/doc/verticalFeature.rst b/src/SketchPlugin/doc/verticalFeature.rst index 4b705ef40..61b76aae6 100644 --- a/src/SketchPlugin/doc/verticalFeature.rst +++ b/src/SketchPlugin/doc/verticalFeature.rst @@ -1,3 +1,10 @@ Vertical constraint =================== + + +.. image:: images/Vertical.png + :align: center + +.. centered:: + Create a vertical constraint -- 2.39.2