Salome HOME
Merge branch 'V7_dev'
[modules/yacs.git] / src / bases / define.hxx
index 34d8dd9c2361eadac7cd51a6de92a286d65dde74..9a5b827e38b917f56b8aaf8cae458dcf114e0a5a 100644 (file)
@@ -1,3 +1,22 @@
+// Copyright (C) 2006-2016  CEA/DEN, EDF R&D
+//
+// This library is free software; you can redistribute it and/or
+// modify it under the terms of the GNU Lesser General Public
+// License as published by the Free Software Foundation; either
+// version 2.1 of the License, or (at your option) any later version.
+//
+// This library is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+// Lesser General Public License for more details.
+//
+// You should have received a copy of the GNU Lesser General Public
+// License along with this library; if not, write to the Free Software
+// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA
+//
+// See http://www.salome-platform.org/ or email : webmaster.salome@opencascade.com
+//
+
 #ifndef __DEFINE_HXX__
 #define __DEFINE_HXX__
 
@@ -13,22 +32,9 @@ namespace YACS
 
   typedef enum
     {
-      Double = 41,
-      Int = 42,
-      String = 44,
-      Bool = 45,
-      //CorbaRef = 46,
-      None = 49
-    } DynType;
-
-  typedef enum
-    {
-      SDouble = 71
-    } StreamType;
-
-  typedef enum
-    {
-      INITED       = 100,
+      UNDEFINED    = -1,
+      INVALID      =  99,
+      READY        = 100,
       TOLOAD       = 101,
       LOADED       = 102,
       TOACTIVATE   = 103,
@@ -38,7 +44,12 @@ namespace YACS
       SUSPENDED    = 107,
       LOADFAILED   = 108,
       EXECFAILED   = 109,
-      PAUSE        = 110
+      PAUSE        = 110,
+      TORECONNECT  = 111,
+      INTERNALERR  = 666,
+      DISABLED     = 777,
+      FAILED       = 888,
+      ERROR        = 999
     } StatesForNode;
 
   typedef enum
@@ -48,6 +59,23 @@ namespace YACS
       FINISH       = 202,
       ABORT        = 203
     } Event;
-}
 
+  typedef enum
+    {
+      NOTYETINITIALIZED = 300,
+      INITIALISED       = 301,
+      RUNNING           = 302,
+      WAITINGTASKS      = 303,
+      PAUSED            = 304,
+      FINISHED          = 305,
+      STOPPED           = 306
+    } ExecutorState;
+
+  typedef enum
+    {
+      CONTINUE        = 0,
+      STEPBYSTEP      = 1,
+      STOPBEFORENODES = 2
+    } ExecutionMode;
+}
 #endif