Salome HOME
0732c63e1ccf85907fbfb5d8fba85d8b9cbc7dc8
[samples/component.git] / idl / AddComponent.idl
1 //  Copyright (C) 2003  OPEN CASCADE, EADS/CCR, LIP6, CEA/DEN,
2 //  CEDRAT, EDF R&D, LEG, PRINCIPIA R&D, BUREAU VERITAS 
3 // 
4 //  This library is free software; you can redistribute it and/or 
5 //  modify it under the terms of the GNU Lesser General Public 
6 //  License as published by the Free Software Foundation; either 
7 //  version 2.1 of the License. 
8 // 
9 //  This library is distributed in the hope that it will be useful, 
10 //  but WITHOUT ANY WARRANTY; without even the implied warranty of 
11 //  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU 
12 //  Lesser General Public License for more details. 
13 // 
14 //  You should have received a copy of the GNU Lesser General Public 
15 //  License along with this library; if not, write to the Free Software 
16 //  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA 
17 // 
18 //  See http://www.opencascade.org/SALOME/ or email : webmaster.salome@opencascade.org 
19 //
20 //
21 //
22 //  File   : AddComponent.idl
23 //  Author : 
24 //  $Header$
25
26 #ifndef _ADDCOMPONENT_IDL
27 #define _ADDCOMPONENT_IDL
28
29 #include "SALOME_Component.idl"
30
31 module SuperVisionTest {
32
33   interface Adder ;
34
35   interface AddComponent : Engines::Component {
36     double Add( in double x , in double y , out double z ) ;
37     double AddWithoutSleep( in double x , in double y , out double z ) ;
38     void Setx( in double x ) ;
39     void Sety( in double y ) ;
40     double Addxy() ;
41     double AddyTox( in double y ) ;
42     long Sigma( in long n ) ;
43     double LastResult() ;
44
45     Adder Addition() ;
46     boolean AdditionObjRef1( out Adder anAdder ) ;
47     void AdditionObjRef2( out boolean FuncValue , out Adder anAdder ) ;
48     boolean AdditionObjRefs( in AddComponent AddComponent1 ,
49                              in AddComponent Adder2 ,
50                              in AddComponent Adder3 ,
51                              out AddComponent RetAddComponent1 ,
52                              out AddComponent RetAdder2 ,
53                              out AddComponent RetAdder3 ) ;
54   };
55
56   interface Adder : Engines::Component {
57     double Add( in double x , in double y , out double z ) ;
58     double AddWithoutSleep( in double x , in double y , out double z ) ;
59     double AddAndCompare( in double x , in double y , in Adder anOtherAdder,
60                           out double z ) ;
61     void SetLastResult( in double z ) ;
62     void LastResult( out double z ) ;
63     Engines::Component LccAddComponent( in string aContainer ,
64                                         in string aComponentName ) ;
65   };
66
67 } ;
68
69 #endif